summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAndreas HABEGGER <andreas.habegger@bfh.ch>2023-11-19 13:03:59 +0100
committerAndreas HABEGGER <andreas.habegger@bfh.ch>2023-11-20 12:03:57 +0100
commit18594685479162ec4f7f8eaec63e3724fa6bc9b6 (patch)
tree85825a3fb8c8f176b92c116da12e02a12cf86559
parentc1e6903b38707d4342aaed01bd3d527d1293a12e (diff)
downloadwww-18594685479162ec4f7f8eaec63e3724fa6bc9b6.tar.gz
www-18594685479162ec4f7f8eaec63e3724fa6bc9b6.tar.bz2
www-18594685479162ec4f7f8eaec63e3724fa6bc9b6.zip
UC: Added CBG, NLnet logos
-rw-r--r--static/images/logo-codeblau.pngbin0 -> 46181 bytes
-rw-r--r--static/images/logo-codeblau.svg876
-rw-r--r--static/images/logo-nlnet.svg152
-rw-r--r--www.yml6
4 files changed, 1031 insertions, 3 deletions
diff --git a/static/images/logo-codeblau.png b/static/images/logo-codeblau.png
new file mode 100644
index 00000000..593b3572
--- /dev/null
+++ b/static/images/logo-codeblau.png
Binary files differ
diff --git a/static/images/logo-codeblau.svg b/static/images/logo-codeblau.svg
new file mode 100644
index 00000000..704a3d50
--- /dev/null
+++ b/static/images/logo-codeblau.svg
@@ -0,0 +1,876 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+ version="1.1"
+ id="svg2"
+ width="700"
+ height="700"
+ viewBox="0 0 700 700"
+ sodipodi:docname="logo-codeblau.svg"
+ inkscape:version="1.1.2 (0a00cf5339, 2022-02-04)"
+ xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+ xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+ xmlns:xlink="http://www.w3.org/1999/xlink"
+ xmlns="http://www.w3.org/2000/svg"
+ xmlns:svg="http://www.w3.org/2000/svg">
+ <defs
+ id="defs6" />
+ <sodipodi:namedview
+ id="namedview4"
+ pagecolor="#ffffff"
+ bordercolor="#666666"
+ borderopacity="1.0"
+ inkscape:pageshadow="2"
+ inkscape:pageopacity="0.0"
+ inkscape:pagecheckerboard="0"
+ showgrid="false"
+ fit-margin-top="0"
+ fit-margin-left="0"
+ fit-margin-right="0"
+ fit-margin-bottom="0"
+ inkscape:zoom="0.56835938"
+ inkscape:cx="607.01031"
+ inkscape:cy="286.79038"
+ inkscape:window-width="2130"
+ inkscape:window-height="1169"
+ inkscape:window-x="0"
+ inkscape:window-y="0"
+ inkscape:window-maximized="1"
+ inkscape:current-layer="g8" />
+ <g
+ inkscape:groupmode="layer"
+ inkscape:label="Image"
+ id="g8"
+ transform="translate(1011.5533,-318.59106)">
+ <rect
+ style="fill:#f6f7f8;fill-opacity:1;stroke:none;stroke-width:2"
+ id="rect907"
+ width="700"
+ height="700"
+ x="-1011.5533"
+ y="318.59106"
+ rx="2.6666701"
+ ry="2.6666701" />
+ <image
+ width="599.22339"
+ height="462.05176"
+ preserveAspectRatio="none"
+ style="image-rendering:optimizeQuality"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAusAAAJACAYAAAA0IigQAAAabnpUWHRSYXcgcHJvZmlsZSB0eXBl
+IGV4aWYAAHjapZtZkiuplkX/GcUbgtPDcIADZjWDGv5bG1fEbS3Nsioir6SQXO5wmt2Ap9v/+z/H
+/YefEntwKddWeikPP6mnHgYv2vP+jPvon3Qf78+eT/i8+8v7jtfvB4G3Is/x/aCV99l/vf/5wtez
+H7zKP52orc8H89cPenqfQ/vtRO9ln6gR6bV9TtQ/J4rh/cB/TjDeaT2lt/rzFOZ+nz/ff8PAP6eH
+1H4d9h9/V6JnmevEEHb08eExxs8Aov5FFwcf+PvYOdDz5og5lvtYPycjIH+L0/dPZ0RHQ01/PeiX
+rHy/+i1bK39i9Hu2UvgcEn8Lcvl+/uv7zuffPojf1w8/Xzm1z6vw6/uj+/qO6Lfo69851s6dM7MY
+qRDq8pnU1xTvK46bXEKXbo6hlafyL3OKen87v42qXpSCPeuZ/C7ffSBdxydvfvjj931efjHEFLYL
+lRchrBDvmy3W0MOKyl/Srz+hxh4tNvK5btpTDN9j8fey/VnuXq1xZfMcGjwnUwn861/3b79wjlrB
+e8Vy5RsrxhWCgs0wlDk9chgZ8ecT1HwD/PX7+4/yGslgVpTVIp3AzvcUM/sfSBBvoiMHZp7fHvTV
+PicgRFw6Mxg6I3my5mP2xT81hOo9gWwkaDD0EFOYZMDnHIxBhhRjITct6NJ8pfp7aMiBtx3vA2Zk
+Qv1VyU2Pg2SllKmfmho1NHLMKedccs0t9zxKLKnkUkotAsVRY02u5lpqra32OlpsqeVWWm2t9TZ6
+6BHQzL302lvvfQyuOTjz4NuDA8aYYcaZZnazzDrb7HMsymellVdZdbXV17Bg0cAPK1atWbex/aaU
+dtp5l113232PQ6md6E46+ZRTTzv9jO+sfdL6x++/yJr/ZC3cTOnA+p013q316xRecJKVMxIGi3gy
+XpUCCjooZ0/zKQVlTjl7OvAXc2CQWTkzr4yRwbR9yMd/5c6FN6PK3P8rb66mX/IW/q+Zc0rdv8zc
+n3n7W9ZMNLRuxt4uVFCfSPedaiM0/gMW/3x2nxdEts/JwJjZshrjqs+CSmZq4FvdMy9C0QnuSWsm
+2mIVAksNcYm54nYpnbVOPAM2IFZp9ZDb9rRXWERpxEnuHkbvjfOFp8xeSzo9x1RL95twpbnOdqWG
+MtuyRGyEjc1CXrbTrKR89Bp2OjuU9kTbhGIVOC63vtIcnYhWC36tfo6T5NjVk9NY+dSeEij5YLbm
+2TtRjof6mitYzWUOZn/CXnsR9nWSSrmf1XJxM4/aUl0c1AM5VwjIO6FFRrR5UlniWd7ujIwMU7I7
+Fa4b+z5zl0cnoiDHsqNKXWfNocLeB7BXCK0z593O9um0OWiNNaONVbdmH/3ZkEzufBjrWG7bsb0H
+5T+q+Vq5LlX5xFGhnNIJwjaf07GwJymaIefZiPoqfadAtcRkk05wZ+XNeCdF1vsqDIIIb/pwcpaV
+B1U+ybntUvM7Tqoz9rn9OG0MaxQu0wPYjsV3Kkuhe04OumA5rQaav65eocUzz9NjY/oxz1hAJdJC
+Xp+4icgwWiT63RpR8+2e7MmTwexq85inIvKARc+06Xsvce1YN3LobGo1A/6nt1zJ6hiunxITUIaM
+YoKj0dXPohvBlkgbnpjp12GDpqLiuODctGWNxujE7HXTwn5sRxPMox426/6ZBHxFHk6lfUvUpRuB
+Vj2O3QaMnWhL2vspozxkLw2DhwD/MElPrQeUo7e42tjoAKbRAagORSGfrRXGlTZz2Ysip9+yT1mC
+8/vZ/f7GH890K9A0V42lj9QrmBMYOSAGBrZIF1RLpzrCfsum0PvGQRwTIw2yURiJ7o+UktIwGKy3
+QnUnT/kiMVcEY5E7u2Ti5KzXw6EBvCLzRqeqpzono6MszJK2PenQUnsBD2Nxwr7jLC0PGnSWEVDK
+ebm5LRVy4zsQUBmOusVoelvPoZ9boCunGfwA30g491uYk7SFeChwipyGdu140gvYkxsy10YFvMjP
+iMDrnI++FHWJSG/H7S8I+QVm7XIgFn0OD1GQuySaHE2ht6AJQAgspvU9rYPGaw9dFHcwpCQgRsgL
+oI1A5JI9J+qYL43uwGlizkOnkjfo0epJu1mGK2MgivVByZM6fQWm5Ns95t26sprTTBYBhLRd00Vy
+gU1nou4pQ9+yB3mBbmh0UEQEMxXjvFx/EVxUJxoHE+NttyfEMkBRtxI5CJzYUxsUwDY6wFBFEx1K
+XVLc1PkGvaCvuDJHd/LRgdyUOoVDZ+XVjgOdz47MtnIIFcJRm3ODor6cDGQAdBnWg7YhMxCadgeg
+YLQ4mYgHtwaV+kixMXwCjJ+i+kMoPtOGkYaCno12ogpq3gt+J4Ctm8ZuQB15OHmQmHNyny7OJ04P
+1K9VR0IRrHkrOY8xNrwKupIN6CIssBp32HOOVkHkl8GgoqZ6d8Zld2+EBghtak0IAH0OzzfgDFiE
+z6ZVqcOIAUaMJOxAmyElqgDk8UCkB0Yeq4sHgA9TQHVuvagdvu95eXp0QfNGP6FAzmL0p3oBGFml
+oSdlSov14SABUEaC/xZknTvzMWSBOjljiIP40rl0NWRxxfd/eXadXhnqdOKAREQTPxnx0rZAZMK8
+f/+MwFrttM2sC+7Y02WyiNZBcyNfnmPCWfBl1iEqmPAAymExBRRCqSPPmXIhcwMgjKkzWDTfytkh
+FrLsb1zHGzID7QApgGtqLYCEjo3SAI24w1IYkaW+haom8pChcjISdlyBs55MOULwKN1wWgucsBh6
+AYgKZWXiesBD8KgIuM5A9EQywqnAqd3mQ524UlrcWWSTlvjj2G0ukIbm8Nsi4kdzRw12e+hM+ZEa
+AI3ulUGKpHLAdEJnIUqS0OWVhTKgj0S2M0cSp0LNAmcB8q/ARFCNnLbQPpPZl90ngtYclEtuxlTy
+JRcbKHnAaiQUUQY0FqdG51ZigeYiKMyOl8AznET3DLJ3fNQiS1ObdZ293zEhCyKe2LLQACoCSm1Q
+oqdAk/RqjzwKQbwOlX+CSuE19G5AwBboBbbtIEZGFSJYGujuJXjT2s9CFHkxCmBC0xKhwZAzYwAX
+0YYaEeGgEc4etsVK5SDhWtgbOgECm4ThzL1i9NDMFoBxhPygAQlaAH43LdodCAWfk/4BzmamQU0w
+eNoeKUD/IOMvAexOA8oSxEZaPEYGFEuQo3RJWGQNSSL+aMmDt9JPttoGhu3CGzypCzcaN/clnA9S
+5U+H8NCvIBI9nDnUTVUKgY5jjw7r8bVDUGRJiYcdZCejpex7FlhjUBshJZiDTsygGAmCBL1bTOxh
+zpkDALZEgUO+ADuk1SXtaJqq84Jt6PBn+mzgvrRjeUidbTrjyEJQp0guNVxF2GjtbhxhClp6od2n
+Wu0YscsgzJS8fg752tQZn3iOBXRGc78ai13mosfPxaawe6AmMlpkTvyNXNd5oFgD2JDhbc+loO9a
+LCCPR9LXUm0L6cgUj3TfRGFzNRQDeNKxSSDnFTpZtoxPCRC1KQ8/0dm9uYOnq7QddTQL0TNDg1Xy
+zpRTQ0pn2HWfGL3ihri8biLNjXuV5wMpsEMrOU+6EMVDk4g0NZLsOQElgdRIg8rC1w2KC6Vc8H6o
+5viIXgFr6DNz0oZEt+x+yDN1/wq36V6eDhGR6esC0QIsCu8o2Ojb6KWM0d5E/lIXXtJNAetGMXE0
+wIY3G1p7LMk34T18gxmm7LKkHcEtMDKT6Sh4BP4EOqLH26LYklrec5mv4bwY0IAApEsOaku7482t
+Yg+wWiB52dBnY3wJlmFKx4UXVCjiYjqZAeQEq1PEsZPLMrTQi83q+6lKLlJciw8kF1NYysbJUP/e
+nW5UrLXPal9NVD+oTWgQhMnPYszKoASaBw26McK45KqvFVV5ilUtau5JYt+yYvBS0lqe3EVWtgwU
+sGo+imX4WPCAdsPeNRQr/AIxwj4nA1J1OjAWRmVU5DvD4VNCs2OqmLeoJg7GjfkuSyhA+8J3jASc
+kb5AZppvlabDZQ+CQSC4tgpnYHg8l04U2JF2Lh53TWYMdYRt9KmZFdqgUhBIgTOw4cgbp/HgmM0Y
+I9iAOkNmUxJFrQNMgtcMDEk05Nqk7VEUI0ysU4CG5Xt8Bv/ca3opTjQwFgW7VRZonURZNGHfIB7U
+O941Yh+qsIjjVvaDENCcu2/svaPfUF/g6Ra/REkU1Bp6CKMMNQZ8GT0F6uUUHrCM/sFAkvLlOe5Z
+nVpQ/BwSbAQcQ4Jyta5OaFtL2ZQgk6BGaeaOfOofHQ6EW9K1By4TD0YYmVtyViLSIoFRq6SLbpSB
+NMQ9L1YsvS3YRLk5bVAOZKRfQDlQCjBE9vDCIc1boValXnTNhj834qOMwVHij3bwthtIizdL1bSo
+oIIHfYh8oT5jduhhJMd+rqimfXfAvoDIyZgwD/J5z9uA/ue/aT0I6qD2ID073dUCE6NS8SlAGwM9
+4V4ToTdryaOjgAc+G7lC8pHeOS5iMqT3aInvznbfrQ0gLiqEBsOygrZAPWElgXNvdAX0PGgJCkxf
+qNcsD+ns6empEB2ahBqrEsOyXykzCCpkdUAQDAR2cNkeiRYSaZcwfGAatD6SDK9gWioEw4OTWD/K
+XH59/5mkruxFEtK4pqLwmoby+OrKNUaXGhNyr42EoliANN9cePa1JUZ5U0X4NM4PZWW4SbSDNqfX
+JvTDOH2YOZe7XoHW8oBqraZ51OCQOvG2Z6HOppAfCAXP0NhY6P7QxojfzUTROh1jRpRMtRTvxKF9
+qhn4dqB4U6lWG9SlErQlN61rBQef1ABVf4+2+qBBxY0aw9FFw0KIUzhpb9dB4kuGwqEosyVy2kTS
+QxawEoLAANUKPjUifosWS3IEPVm6sNwGc6p9AlT9lJeH+FUFdJZKnxxb84v5IXUUCXwahhyuzRQE
+zNtjeaqW2OLjFDnqNgN2QmCciKjo0G1UWNVATSh3VFikFCBHNMKtvAUzJbETrNplRUu8dfUo79g0
++f/UVH24bYYkq0S40DOghBjMtPmiQpFKbqk0rXSAR3mRjtmejGOH+KEUaXVIAgv4elNOBIl6dCm9
+MGkdiK2spPbePFUY+KnYrOMHdaxYfchQ6rhfSBzLLBfiit5iyo8mhT6fn9RchdiwZYzd/TT40WBG
+WRkMU9tadcFHafcmVk930DqNTgFgtXBQ52tY0eX5POug/DeBHlpjBHBI8z7ktO+ltVXZjI1CQklL
+mpOCWehifCDGAdEVYFb4AVpARNDhMFiWqCowAzAf7mpEfxqKiFFNADFibwvg8SgQdMFtkydTgER1
+UavDBamWieed1AQFriJ+pOvo5FUTihEhTEFona8TbbQr5iJgBJA4fJERBdsJ7icshOaRsYRmN4qB
+ydNVcJxcHWWdlD+aGWyTaAN/UfFH4ZFYlJfKfjk00wMHBrISEvhcJ+FP4y6leRACsSZZUhFNGPuU
+9AHmSSojwVQ0cEaZICLMw1N29wobUEKsaGlGAaLATBGtrJW7PMDBiepiHEypyeHHIws020nqZAfn
+IrUQOw3igIoUdBoVDCIdNISYLJpWbgA1LXlA34Gm8yIHGEFb1UBHclHBh+82GqLB3uZjxBXiBUtF
+Rwl4QI+Dx8pII5TxVpSylkFCxYLWIHGBF9FCkz1d4lIeUosMBXFwlxPA2Lv0hQRCfsM1IWOillbc
+E0aYTqMhtcGCrHaCh4Yc5jgO34pxZcA04sWa90+mJs17GQeQfToGoaJmduhYNS2ZL2dzHS07UnI4
+b6pVBoN6Pk2hmupDXE9NRes0d3X00ZoLpR1VHF6pXZWmRVyRDmoYSoACER32gPwUCm61I9NtRU/6
+zGt5gvoGe5Jma4EpAGsIjgqao7Ph4YCn9BCiF9VNrJ0WmXQJyl5WAUuBbmA+XdCBvKIfvGoGR4Hg
+lQl2ECatiKDCNxSC2DPEs7Gs9BUXVPAZ3y/cL7gZF24oAgruoSinKzJKvM9AIvoeuNTS+dECbmig
+LCYdwXkm0hvXhpShxDlm1D0wO97u6uw523F12mJpUWtq+5mY47dsVhHlF1wiHidnhfMgEulmfC0s
+IfjcWpRlik4LxFqGA4lo5aLtqwDoeJxY9gH4y/4ukwa4itAg0PUsEsYCWs5mCBa0stN6B5oJkYpt
+gSsjvBD5HPRYnsFiaY2WCu8GA3JWyfcoa1UxwMB/UOtsrnHefjdvqdaMdN7U8RC/kY2naCG/SCl5
+JtPFOLQeICH7PetVSdt3YMGBCwOyWOalp0utIFnCPaK8ItJ63T2RLJ6L8hLotDF81WYheqJez1MY
+VHYZ/FPhETfEztcS0H0G2+rW4gxnwuMR814j8oSyBA2gDMTsoxbmdNlhhww/vZpU/MjyA8QCA6z+
+S7eJTZhOn1ColEdFIAZqDthpG1fWXtPutpZE1VugMmW/8E6YmClyxCWurp2pqL/F/gsLQivthyBi
+gaXWbnfDWu5taSlYXoRv4Spjy9HQbFsjtq2FzIRi+3weNqKpYpB2a3MkVIlbp09Gwfm91m+LspBA
+R/RmhsVLf77M6Xgl7Pff8qbwC8ICl5zden1y9dqTqSDCgViJV8UwSwx3FUeWOUf4rU1smCkC6Yj6
+YbruMynxWAiJTEMYeK0FUIZNxBO1P+dtnresbWvpn2SOqk6jObPkFznC07dNCrajlWQbewYwaAAf
+pFi2jEMYgC5lwmmG6v/r+X1nRpI3p6HxvJbbHJPQHnK0GOTBw13KmEHmWwsd+NuoTdERoN9HNTEB
+BNMtT7HK4d7NLpQuJwKBZJVx4DAnX0PNGQRUZ0Z9ZmC70+aKR28RqItERbKCM6DUDCyNsEpwWuMR
+cgoEDOLCTNPT467GMXBE/pJWSqGSwd93ns/Q4rcvUKjT7hr9CO4gETaqG4TsiwIuO75VPrVpibZE
+JQC6GHkwlfp9rMHiWG6E81rLYTmLMJdGIlNPJApcCWli0Pq9caLxEp3CM03YzGMKH6y5Z0jKO1CF
+TJyutnNXqLWQhmLcuBsKlL4Z1AEnpq4AbxqW5rFB6V5b7O++tvmSp/oRoQ/Tkm3tTO1IYVHPd5/4
+SS8l4qBRe9rjh0XL3ewuC9hLTAK5jQTwn6J3HwZ95Wf+5odvOfqhj/XVgaX/dDxQP9bWlq05bXw3
+HDszyRIA6JUqu14AHGoVzgAlMrSsBXlqaKNosLvda6EKpYTauU3moMway6cfaQM0KhUAAMeOh05H
+dYQjBji02LyBoNgkDLlGqloDCeuqavf6SuSg0IgYRi0doAae9KqTjHE/HudSJkgIuGK7/F0PxLAD
+uzHI1dl0Jom/JfkRVg9fAoe1J4nR4dRIiYIJpx6lFiDG5WXKmATgCGoKxnObjNEh2otWzSPjxq+J
+hmu9O1pUtBfSXy2sV9o3vX1a3j6F/SGxVC/Eux9Y71VORzs4stybs3uwRrDUMAOLvK8ZOKMqMm5c
+kkg4xqaa3NrLfrSCg+sImuVdeWlaU6KeB2TqJ+0j4sYpnmqiFtOqyUwLaER001kWC0IrSiTMVLSh
+UwclyfxRI4ifn7aGUMB3uUN7LCr2SImXB4MDN9bDG83pgF50W0c7QAmQf3Sv1KTUhoYvkeE9UhDH
+cqg4rYcBDDV5hPuEGOhRXcnBsreafxDIeus2far8Msz6Cwt8H3Abwb1v4BgloIxv2O2A109+pVjP
+l7G/X/H8zK93HlLpHkQDONYFVFWrlUjc+McOO9IfWYaHyoitcvfOocU1lgf8DfafDpnZdHjRfUG+
+ah1RW0VgGRCX1o8jOVDL2SfLV+H1/BLjGtY2PngHl9PswteCHsSqoNO09GWYsyVE5BuU1vAXOaKM
+pZWS8sZrawkPg4ijsrsFjeKkKfM/3D5QpZ0Fs9WXMWhwXEwTYp57gw+djiNxJPzItzNGiBc9Lj/C
+N6AQbYBo9yfGgFgKUMhDcaB3tQipnXYqUaq9HBQ1wEYkhnYzd0daXSp+79tAZ92KmGPeDTxEFniO
+RtKNH/AXCq8fSC9vwnZ0a5VNSR5C9V1GX1WioriwyJzSpzioo/yiR9Nqw3sIIgK9xlvfmPBboage
+JWcpEpS4aX1d9xATAjCsL1ALG3RbyX1vt9ZAt9GkNPe8ew4I4x6SMnJanJtGGnMsraprE4hxB4TX
+k6Ao2kg2C+EG7CKM342TR2Z9AZS6CSdmBKHXJhUOrCfIDwkXgBG8HsZetw5lbWht8Ohok6BqQ42R
+QzuIfvH3OKiurSFKtwAcqhLZPxw9aFvQAYVL8ke+V3IWdOsGOiMFvsD4OuJWS+Xi43+8M+WXZyPY
+WH48VsBZVTKODsYidQJGKcElx4QYj25XGSddBQtqoR0vj2JqoG3d6uLipdAGuI+W8fz13jGEsM1J
++uu9synnHe6mI8iEs+KrWrtpsJNCVCr21N3dOZTS1MoZ/Ra1P0EZ+9npVU6YmKr6ZI391s00uWwy
+mQc8U7agYE6HWtNyprYJ6FiQlm7RTV7zcCh1q6Weid6ma7QrRe3DZ17C5V0KEwwPXKlTHWrd18sa
+wQ+dpliYM5XgQbPbs0pBHB3AVze0+bDH4gu69uzInibVMgqCvWOEL+6O/SnrgHZKSfe7PYNpaG8/
+xEWkjHHrTTgTGa6dNixiEoof8CiKvGqldGYNUo0b1UulaSlJteZp5IWghGRr0gg46X6mGQrpifKV
+DUUL90sQ8TUQImM53xsaiBNFimjtCOGiDX7srkfnpWEnISS0UHjubVYhysyDkCZXlKZWeEA+ThEC
+XY4s31u3ZJG1K1P6nPLs4Uzd8ufVDUbDVW1JoHqTa8BnNC045t3RZ1OrwoOTFCnWqRsjQX0EGwqF
+THXqCV4HNRFP10tgSQvt4rKWyiFRrd9uOYgGspJ0/Pq9I0klpKWIKhdHb3HO3sXRiUojSNrNw752
+p7sdq1b5y6N2/CEo/uWz+/sHwUvEv9QP1VLADbzMB4gAjqLu/iAlsen2N2qM6nHjLtE/UELLsLlu
+7IDPdUv9ehfvk71bnYBU8ASx4LVknQZS5N0XPlpQArP3o5uahrXQdPPp1EYcIQLlfZA6meV5sSL3
+57qmv97M4v7hLpcvSXNM/6/DfwE8q1HzWQX/RwAAAYRpQ0NQSUNDIHByb2ZpbGUAAHicfZE9SMNQ
+FIVP00pFKw7tIOKQoTpZEBXpqFUoQoVQK7TqYPLSP2jSkKS4OAquBQd/FqsOLs66OrgKguAPiKuL
+k6KLlHhfWmgR44PL+zjvncN99wFCo8I0KzABaLptppMJMZtbFYOv6EeYKo6AzCxjTpJS8Fxf9/Dx
+/S7Gs7zv/bkG1LzFAJ9IPMsM0ybeIJ7ZtA3O+8QRVpJV4nPicZMaJH7kutLiN85FlwWeGTEz6Xni
+CLFY7GKli1nJ1IiniaOqplO+kG2xynmLs1apsXaf/IWhvL6yzHWqESSxiCVIEKGghjIqsBGjXSfF
+QprOEx7+YdcvkUshVxmMHAuoQoPs+sH/4PdsrcLUZCsplAB6XhznYxQI7gLNuuN8HztO8wTwPwNX
+esdfbQDxT9LrHS16BAxuAxfXHU3ZAy53gKEnQzZlV/JTCYUC8H5G35QDwrdA31prbu1znD4AGZpV
+6gY4OATGipS97vHu3u65/XunPb8fbmtypY7078oAAA0aaVRYdFhNTDpjb20uYWRvYmUueG1wAAAA
+AAA8P3hwYWNrZXQgYmVnaW49Iu+7vyIgaWQ9Ilc1TTBNcENlaGlIenJlU3pOVGN6a2M5ZCI/Pgo8
+eDp4bXBtZXRhIHhtbG5zOng9ImFkb2JlOm5zOm1ldGEvIiB4OnhtcHRrPSJYTVAgQ29yZSA0LjQu
+MC1FeGl2MiI+CiA8cmRmOlJERiB4bWxuczpyZGY9Imh0dHA6Ly93d3cudzMub3JnLzE5OTkvMDIv
+MjItcmRmLXN5bnRheC1ucyMiPgogIDxyZGY6RGVzY3JpcHRpb24gcmRmOmFib3V0PSIiCiAgICB4
+bWxuczp4bXBNTT0iaHR0cDovL25zLmFkb2JlLmNvbS94YXAvMS4wL21tLyIKICAgIHhtbG5zOnN0
+RXZ0PSJodHRwOi8vbnMuYWRvYmUuY29tL3hhcC8xLjAvc1R5cGUvUmVzb3VyY2VFdmVudCMiCiAg
+ICB4bWxuczpkYz0iaHR0cDovL3B1cmwub3JnL2RjL2VsZW1lbnRzLzEuMS8iCiAgICB4bWxuczpH
+SU1QPSJodHRwOi8vd3d3LmdpbXAub3JnL3htcC8iCiAgICB4bWxuczp0aWZmPSJodHRwOi8vbnMu
+YWRvYmUuY29tL3RpZmYvMS4wLyIKICAgIHhtbG5zOnhtcD0iaHR0cDovL25zLmFkb2JlLmNvbS94
+YXAvMS4wLyIKICAgeG1wTU06RG9jdW1lbnRJRD0iZ2ltcDpkb2NpZDpnaW1wOjA5ZDI3ZTRlLWYy
+MGUtNDNmNy1iNDdhLWZhNDNkYmRhMTMwOCIKICAgeG1wTU06SW5zdGFuY2VJRD0ieG1wLmlpZDpi
+YzM3NGIxZi0zYTIyLTQ4OWQtOGUyYy1jNmI5YjVlNjE5OTUiCiAgIHhtcE1NOk9yaWdpbmFsRG9j
+dW1lbnRJRD0ieG1wLmRpZDo4MjU0ZjQ2ZS00OWFjLTQxNWMtODQ0My01NmRmNDU0MmE5NDEiCiAg
+IGRjOkZvcm1hdD0iaW1hZ2UvcG5nIgogICBHSU1QOkFQST0iMi4wIgogICBHSU1QOlBsYXRmb3Jt
+PSJMaW51eCIKICAgR0lNUDpUaW1lU3RhbXA9IjE3MDAzOTUwMTg5NTQyNTQiCiAgIEdJTVA6VmVy
+c2lvbj0iMi4xMC4zMCIKICAgdGlmZjpPcmllbnRhdGlvbj0iMSIKICAgeG1wOkNyZWF0b3JUb29s
+PSJHSU1QIDIuMTAiPgogICA8eG1wTU06SGlzdG9yeT4KICAgIDxyZGY6U2VxPgogICAgIDxyZGY6
+bGkKICAgICAgc3RFdnQ6YWN0aW9uPSJzYXZlZCIKICAgICAgc3RFdnQ6Y2hhbmdlZD0iLyIKICAg
+ICAgc3RFdnQ6aW5zdGFuY2VJRD0ieG1wLmlpZDoxY2IzZWU5Yy1kYTQzLTQyOTItOTFkYS0wMTdm
+OGRjOWYyNzEiCiAgICAgIHN0RXZ0OnNvZnR3YXJlQWdlbnQ9IkdpbXAgMi4xMCAoTGludXgpIgog
+ICAgICBzdEV2dDp3aGVuPSIyMDIzLTExLTE5VDEyOjU2OjU4KzAxOjAwIi8+CiAgICA8L3JkZjpT
+ZXE+CiAgIDwveG1wTU06SGlzdG9yeT4KICA8L3JkZjpEZXNjcmlwdGlvbj4KIDwvcmRmOlJERj4K
+PC94OnhtcG1ldGE+CiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAK
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+IAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAg
+ICAgICAgICAKPD94cGFja2V0IGVuZD0idyI/PjgvRHMAAAAGYktHRAD9AP0A/Tf3QbEAAAAJcEhZ
+cwAACxMAAAsTAQCanBgAAAAHdElNRQfnCxMLODovL8JSAAAgAElEQVR42uydeZhU1Zn/3wLyIxlG
+5akIKqbbEJqINmhHRAUUFTFxXBHjFrckCkJrJmaTJIqCmskmUQMhTlAwMc4YoyMuMToCstPsILLK
+Dg10NyjdiTRaHe/vD1NMdfWtqnPuPct7zv1+nqcf6Kp7b213+dTb3/MeIgAAAAAAAAAAAAAAAAAA
+AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA
+AAAAAAAAAAAYJoW3AAAAePHb3/42yP6/oaGBiIi6dOlCDQ0NVFtbS1VVVVRfX394+draWjr++OPb
+/L9Lly60cuXKVr8TEVVXV6cmTZoU1NfX09ixY1OTJk0KqqurcT0AAADIOgAA+Mnjjz8ejBw5MjVq
+1KigW7dutHv3bqn1gyDQsnyp5YrdL3LfaaedRsuXL6cnn3wS1xMAAICsAwCAOR566KHDtior39yk
+XIW8F7tf5vbPfe5z1LVrV7rzzjtxDQIAAMg6AAC05T//8z+DhoYG2rNnj7Jtyoq4bXnXJe6y8h52
+W9++fSHzAAAAWQcA+Czjt99+e+rOO+8M4mwnioBzkXHO0i4j7mG3l5WV0YMPPohrGAAAsg4AAFz5
+3e9+FwRBQKtXr/6/k1oqpVS2VQq8zHqql3VN2mVkPv+2008/nYiIvvWtb+EaBwCArAMAgAkp37dv
+n9LIikqh11U9h7THl/nc38vLy+mhhx7CdQ8AAFkHAIA4Yl6sUq5atFVuk4O0mxpoGkfKbUh7IZEv
+Ly8nIqKf/OQnuB4CACDrAACQy3/8x38Ee/fu1bZ9FSLPadCoaml3scquQ9rzb8v+/49//COukQAA
+yDoAAFJuijjyrlvakyrsKsVch8jnx2hOPvlkuvHGG3HtBABA1gEA7jJ58uRg9erVJSMsJmVb1bZ0
+Vs1Vi3hShV23tOf+fsYZZ9C3v/1tXEsBAJB1AABffvrTn1qrnJseHGpC2iHsemU+TsvIYr8HQUAn
+nHACVVZWovoOAICsAwDsMHny5GDfvn0UJufFKuk6BoKqfAyfs+kQdvUiLyrxQRDQJZdcAnkHAEDW
+AQB6+OlPfxqkUinSXTl3MeISVdjfeecd+ZO1okhRlO188YtfhLArkPjs/88880y66667cP0FAEDW
+AQDR+NnPfmZ1QKgqcTcl7atWrWIp2ba2U1FR4aWwRxH0YtJORHTCCSfQscceC3kHAEDWAQDhTJ48
+Odi/fz9x6NaiQ9zjCnsxEfdNsk1up0ePHqz7tMcVdhGJLyTwZ555Jn3nO9/B9RkAAFkHIMmCvmbN
+GuUCp0qwVW+z1LorVqzQIrSQ9Wjb6d69e2QhtynyKqMy2f9//vOfp5///Oe4VgMAWQcA+M5dd90V
+qJZyG/IeZ1vLly83KqIct+Wy9H/+859PhLAXEvggCKh///6ougMAWQcA+MDPfvazoK6ujtVzMplF
+FxVzyLrb2znhhBOUSbltYReV9uz/L7vsMrrppptwHQcAsg4AcIXvfOc7gQvPU4W0524jjpi7JOy+
+ybqu51JeXh5ZyrkLeyGBD4KA/vznP+OaDgBkHQDAXdB1RF109UiPst2lS5dql2vIun/PpaysLLaU
+ywi3DWHP/X///v3pu9/9Lq7xAEDWAQA2+PnPfx7s3buXbGTQTQ8eLSTnkHU8lzjbKSsr8yrXXkja
+gyCgyy+/nG6++WZc7wGArAMAfJRzU+Ke3ZaonEPW8VxUbudzn/uc8L7tSq49X9qJiAYMGICKOwCQ
+dQCACp544olg7dq1TjzXONK+ZMkSloLNTSYh62Zfz/HHH8+2X3spYS8m8LnyPnDgQIg7AJB1AIAu
+QReRFF1Z8ziPt3jxYi1iDVmHrOvcTrdu3VgJe9xOMvn/HzBgAH3ve9+DEwAAWQcAhPHd73430C2e
+piQ+f9tZOTfx+nyPwkDWzb+efEkXOYbiirkuYReR9iAI6IorrqBbbrkFfgAAZB2AZPPzn//8cB90
+m1l0HeK+aNEi42LNVbBFtnXiiSdSt27daPfu3XTqqacevv2OO+5ITZw4MbjzzjuVPJFf//rXwb//
++7+ncn8nItq3bx/t2bOHevfuTURE06ZNS/yXhuOPP16plKuQeFMRmez/v/CFL9DDDz8MTwAAsg5A
+8gSd20BRFdIuIugmhN1mdf2kk06idevW0XXXXXf4turqai/Ps48++mhARLR//36aP3++F7KeFfS4
+8q1CzG0Je+7vuf/26NED4g4AZB0Af/nud78bcBT0uNIeRdBdl/Xrr7+eiIhGjRqF86cAjzzySLB/
+/37au3cvbdmyRYtkxyVqG8coIs81IiPaBpKIaOjQoYjJAABZBwCCzlXY4wq6C8J+4YUXUteuXWnk
+yJE4R2pkypQpwebNm6muro62bt1q9HPWOUmSDYlXIfBR8u3Tpk3DMQIAZB0Ad3jyySeFurnEkQyT
+HV6yj6Va0DnI+pe//GUaM2YMzoFMGT9+fLBu3bqiEh91MqQox5YtkdfdRUbFgFQiorPPPpu+//3v
+43gCALIOAE++973vBaZE1JS8L1y40Njr0BldOfnkk4mI6LTTTqPbb78d5zzHvwwfOHCAXnvtNen9
+pry8PLJcm5ByHWKuQ+BL/b9Hjx50yimnICYDAGQdAB7ikF9Ftx17iSvuuYJu8ouHqm337t2bjj/+
+eOratSvEPCE88cQTwYEDB+i9994LbROalXRdQh5FvlWIORdhz/09f5lzzjkH1XYAIOsAmCe3iu5L
+V5diks5Z1i+66CK69957cR4DrRg5cmTw0UcfGRFy1SJvq7quStjD5D0IAho2bBh9/etfx7EKAGQd
+AD3kVtF96eoiIuichL1379502mmn0YgRI3DeAgX52c9+FmzcuDHyccExt25K4nV2kMn+C2kHALIO
+gFJ+8YtfsO2LHlXYo0i6LVm/+eabIedAWNLfffddbUJuSsplZFu1rIsIvKoBqUEQ0CuvvIJjGwDI
+OgDRJb2+vt6L1xIEQSxBNyXsffr0ISKixx9/HOclIMWtt94aiIowF1lXJfi6u8XoFnYigrQDAFkH
+QJzvf//7woFvF9ovLliwQP1JQ6Gs9+nTh/r27UvDhw/HuQhI88Mf/jBoaGiQPr58yq3HEXNdAi8T
+j8n+PwgCOvfcc+kHP/gBzgUAQNYBaE2pKrqL7Rd1SLqq9+Tiiy+me+65B+ceoFzSIetqlrUdj/nq
+V7+KXDsAkHUAPhk0um7dOityrkPa58+fb+y5yzxOnz59EG0BSvjRj350WNKjirMLsq5CwGW2YbPd
+YyFhz/77l7/8BecOAFnHWwCSRljUhdsAUhlpnz9/vpXXUeyxIOhANbfddptwLt1XWVch8SbjMSp6
+tWd/h7QDyDoACZR07h1eSglHrqTbel25j3XJJZfQj3/8Y5xTgFZJtynrqsU7yvZ8H3xa6P9Zcb/6
+6qvpG9/4Bs4zALIOgC/kR11ca8EYdnEsJOk2hD2VStH8+fNxHgHK+eUvfxls2LDBqHDrWheDT+Wr
+7aViMpB2AFkHwCNJd7VHev6FSkTSTcj6qaeeSr/97W9x7gDa+PGPf1x00HccoYasu9ebvZCwExGd
+d955dPfdd+N8BCDrALjClClTgrVr1zov6LnMmzcv2sGt+D249dZb6dZbb8U5A2iV9IaGBq0yDlnn
+F4+J26c9CAK65pprUGkHkHUAkiTp2e2Y6oeuUtJVCjuq6MAUw4cPD0zIOGQ9npirkHMV8l5oIOp5
+551Ho0ePxjkLQNYBcFnSVQi9TolXIelxXisEHZgkW003JeOuy7oKAbch63GEPcpA1BNPPJEee+wx
+nMcAZB0A7pLu0oRGKiU9ynsASQcmmTx5crB48WLjMm5D1k2JvA5ZNyXnKuU999/zzz8flXYAWQfA
+ND/4wQ8C24KuUtp1Sbroe3LbbbfRN7/5TZwLgDEefvjhyJ1eIOs8c+tRZFxG0GUkPf+2IAjo2muv
+xXkOQNYB0Mkvf/nLgt0hOA0mlRF2E5Je6D1CFR3YIjebnhRZT0IPdhVyrkLeS1XaBw8ejEo7gKwD
+oJqwSrrrExqZFPXc9wxVdGCL8ePHBxs2bBD6QgtZ90PW48q5jLzLRGOCIKALLrgA0g4g6wDEJaya
+7kpLxkIXQhuSTkS0cOFCHOvAGiNGjBDu9MJZ1n2a3dSEmIvIdxxBl5H0QuJ+3XXXoYABIOsAyJJf
+SXe1Z3ruRWLu3LnGX0tVVRWiLoCVqKsQbZ0yrkvkfZR1U3KuQt5LCfuJJ55IEyZMwLkSQNYBSIqk
+5zJnzpy2B52B14VKOrDNvffeGzrORHfVHLKuXtbjiL2OZXRFY4iI3nzzTZw7AWQdgHxy4y4+zTwa
+Juo6hf3yyy+nH/3oRzimgbOibkLmIetmZV2VwJuMxvTq1QuVdgBZByBLtpqeFEnXIeyIuwDukg5Z
+h6yrlPMogi4j8dlKe69evWjixIk4twLIOoCk+4SoqKsQdkg6cEnUIetqhDwpsh5H0KNKepiwExFd
+f/31dOutt+JcCyDrAJKuU25VzTKqStLjvB5IOuDG7bffHpgQcZsynhRZNyHmOmRdVNBFJD33ttzb
+Z8yYgfMugKwDSLoKMTcp7VElPerrxMBRwIknn3wyWLx4sTHRhqz7K+tx5TyqvJeKw+T/e9JJJyEa
+AyDrwC8efvjhoK6uTlhITcViVEi7ClEXed2opAOO3HvvvUFDQ4MzIu6ayPvU0pGbrJeS9FLCHgQB
+XXjhhRjQDyDrwH1+8IMfBCLybSu3HlXYVUp6qfcBlXTAkdtvvz2QPZYg6/YE35f+6zoEXeT/xVo9
+zpw5E+doAFkHfko6l4GlssKuS9Tz35cRI0bQN77xDRyfgL2oQ9aTO/CUQ092my0ec8X9rbfewvka
+QNYBf6ZMmRKsX7+evaBHFXbdok5EdNppp9GkSZNwXAJ2PPLIIwWPb+TVIesuyLqIoEcV9+zPrFmz
+cP4GkHXAj4cffrhoyzYX2jMWuwCakHQiopqaGhyPgCVjxoyJ1T/dBZmHrJsXe06yHkXSc0U99/83
+3XQTWj0CyDrgQ7HIi0s91AtdiEyIOiQdcCYs9qJaolXIetL7r6vaFrfOMS7l2HOF/eSTT8ZfSQFk
+HdilUDXd5UmOck/IJiR96NCh9MMf/hDHIGBLqYq6KRHnLOMmhZybrLtYbdc982musBMRpB1A1oEd
+7r777sAnSc8/8eoWdeTSAXemTp0a1NTUSH/JTaKsc+kSw6VDDHdZVynvIjn27P9nz56Ncz6ArAP9
+hFXTfZD03JOtblEfOXIkff3rX8dxB9jyyCOPBBs2bDAWb+Eg8z53iYGs6xt0Wkzcw+S9srIShRoA
+WQf6yK+m+yTpRESzZ8/Wun1EXoALjBw5MjAt4ipkHS0d3ZX1Q4cO0c6dO+mdd96hzp0708CBA+lT
+n/oUC1mPIuml/g2CgC666CL68Y9/jOsBgKwDNeRX032TdN2ijsgL8FXUTYk4ZxnnIuSuyXpzc/Nh
+Qc/SuXNnIiIaOHAgEVFRYY9bfbfV3jFX2IMgoLlz5+LaACDrIDr5PdN9lHSIOgBtRV2VQPsi85B1
+dbL+3nvv0c6dO2nz5s1t7s/KepiwuzzoNEzUc/9/0UUX0T333IPrBICsAzl8j7wQEc2aNUvb64Kk
+A1eYOnVqsGjRImsirlu0bYp81O26PlFS/u3Nzc104MAB2rx5M9XV1YUunyvqubKepUOHDlplXaW8
+R4nFBEFA8+bNwzUDFKQD3gKQJQmRl6yoZ0+Uql/jlVdeSaNHj8ZJF7DnqaeeCu34IhqBUYHJx+KE
+a687yvNtaWmhNWvW0KZNm2I/fktLSxth50oqlWrzfmVvC/s3y9lnnx307t2bHn/8cVw/QNv9Cm8B
+IGpdTVchsDLbMHnhyop6nOdbCExuBFzh0UcfbRVzkz0eEYGJt67PEyU1NzfT0qVLC1bR88mvqmfJ
+r64T/V+FnUuHmEL3xYnF9OnTh37729/iWgIg6+D/yM2mxxFWFbKrW9oLiXrc14DYC3CJ/Hy6y7Ie
+9zGSOlGSjtubmppo1apVwpJeTNQLyXpW2Ll3iBEV92KxmIsvvhhZdgBZB/Gr6bpiMqqlvZSkx3lN
+6JsOXOK+++4rOiMpusDoFW4dQm5T1jOZDDU3N9Mbb7wRaX+MIutZ2rdvb0zWZQU9rrjn/ixYsADX
+FwBZTyJxqummcuyqhF1G1GVeI6rpwDVKVdRVCbQvMu96BEa3rDc1NdHmzZsjZ9KLiXoUYecg66XE
+vJSkF5L2Sy65BFV2yDpIEtlBpDLSbWOgqQpZjyLqIq971KhRdMstt+DYAV6JumuybjMCk2RZb25u
+pvXr18ceOKpC1nOF3WQvdhuxmFNOOQWDTyHrIAlkYy+i8m27G0wcYY8r6oXeAwwiBa4xatSogJOE
+c5H5pObVo8r6/v37aefOnfTuu+/G3idFRF1U1rPCrroXu8lYjOjg0yAI6Jvf/CYNHz4c1yHIOvAN
+2Wo6l5aNUWVdlajnvh+IvQDXeOqpp4JFixYZzaGbEnHOsu5bh5hMJkObN2+mt99+W8l+KSrqssLe
+rl07pbIuI+86xL1Ulh2Fo2SBPusQdXaSnvt8ZIVdtagTIZ8O3CRssiPVX4xNfglXuQ0VXxZ8I/81
+t7S00K5du2jHjh20d+/eWNsuKyujI488ko455hhq3749LVmyRMvz53ANy71uFfq/yPr5/+Zfo886
+66zg1ltvRZU9IeBD9pSpU6cG69atc1LSo140dYj6okWLcIwA5xg1alQgc/wgAiN+P6eKvK6K+/79
++2nNmjVKJL179+7UoUOHNt1bRIVdpLKeew3L/t9WZb3YfSoy7Nl/c7Psv/vd73CdgqwD1xDNprsw
+Q6mobEDUASB67LHHWk12pEqeOYm4qzLOQchLrZPt8BI3l15RUUHl5eVtBD0MEWkvJuxh17FCVWwu
+vdfjinuYtON6BVkHjom6D5IuevHTIel9+/ZF7AUkQtRNSjgHEbcp+pwr7plMhlavXm1U0mWEPUzW
+o1zHuMt6KUkvJe233XYbYjGQdcAZkWy6S5IuctHRIerDhg2j0aNH47gATnH//fe3mewIA0vNyjh3
+IS90+759+2jGjBmR9710Ok3l5eWUTqelJV1U2PNlPeq1zLash0l3KVkXFfXs/0899VTEYiDrgCOl
+qukuSnqpiw5EHYBPyK+o25BwLiLOVcY5ynq2X/rGjRtjSXqXLl2U7csiwq5K1E3JuqjIq8iwZ///
+8ccf05IlS3Atg6wDDogMInVZ1AudYJFPB+ATwirqKgWb2zKIwKiR+D179tCcOXPYSLqIsA8cODDW
+9cyVDLuKKnv2Z/jw4TRixAhc2yDrwKaoh1XTfJF0iDoA0URdpTxzEnHOMu6CrGcHkK5cuZL27NkT
+WdKPPPJI6tixo/b9O1/azz77bOXXFF8GnIbJe/anqqqKJk+ejGscZB2YpljsxQdJL3TyUy3qGEgK
+kirqJiWci4jbknGTQl7ovkwmQ7t27ZLqvZ9Lv3796IgjjoiVSY8r7CpkXUawOch6MSkPE/Ri0r50
+6VJc6yDrAKKuV9Yh6gCUFnWV8sxJxE3IvK8zmjY1NdGKFSsiVdOjdndxRdh9GnBaTN6zPyNGjEAs
+BrIOdPLwww8HDQ0NiZD0/BMSRB2AT8hOduSKhPsi865GYJYvXx5pAGlFRQV17dqVOnXqxGK/zwq7
+KlnPF1qTsh5VzEUlvZS0X3HFFTRmzBhc/yDrAKIOUQdANWPHjg3q6uqMyLNrsp6kLLvIOlGr6WVl
+ZXTsscdS586d2e3/S5YsUSrrREQff/wxS1kvJe5RJ0/K/v9LX/oScuyQdaCSQrEXXyVdp6xXV1fT
+Lbfcgn0eJFbUuUm4CzLvWjxm/fr1tHLlSqn9K51O03HHHUfHHHOM1chLKT744ANKp9OJkvUokl5M
+3nN/li9fjushZB3EZfTo0aFnZd9FPXtigagDICbqKsU4SSJuU/RV33fw4EFavHixdDWdQy69FG++
++Warc/ell14aqNp2rrC7LOvFBL2YtEPYIetAsagnQdIh6gD8H6Uy6rYkXIXk+iDzpivyhW5vbGyk
+1157TWrfSqfT1KtXLza5dBFJVy3s2WvqP/7xj9h92KMua6OtY760Dx06lO677z5cIyHrQJRC/dOT
+IupERG+99RZEHSSe6urqgKOEcxJxmzKuS+Rl7stkMrRp0ybp2EtlZSXbyEsxQVcp7PnX1JaWlkTI
+er6oZ3+HsEPWgSBJzadD1AHQK+ochd7nqroJWW9qaqLly5dLxV7Kysqoe/fuRiY10iXoKoS90DU1
+V9hlK+2mZT2OuBfLsa9cuRLXTMg6KESSYy86RH3YsGE0evRo7N/AOcaOHRvU19cTquqoqhe6b+vW
+rVRTUyO8T6XTaerRowe7Li9xJD2KsItcU7PCbmq2U5HlTEZi+vbtS0888QSunZB1AFHXK+p33HEH
+3Xzzzdi3gXNUV1cHquWao9AnuZ1jnPtaWlro7bffpg0bNgjvUxyr6aokXUbYZa6pLS0trGQ9irjH
+lfZRo0bR7bffjusoZB1A1CHqAOSLussSnhSZt1GRP3jwIE2bNk1qn6qqqqIuXbp4Lekiwh7lmprJ
+ZFjKeilxVxmJqa6uhrBD1pNN2EDSpEk6RB0AvaLOUehRVZe/b+vWrbRw4ULh/YlbO0bdkl5M2ONc
+V3OF3VdZLyXtEHbIemIZP358UF9fn3hRVynrV111Fd19993Yn4FzZDPqrkt4UmTetMgvW7ZMKvbS
+r18/Ntl0k5IeJuxxr6uqZN2FCZPyRT3396uuugqdYiDrEHWIOkQdQNRVyzVHoUdVXXy7jY2NtGLF
+Ctq9e7fQ58Mlm961a1d65plnrJ+PL7vsMiUTJ2WF3ccJk3KFvJC0Z3/69etHTz75JK6zkPXkiXpS
+JV2lqPft25cmTZqE/RhA1C0LParqakS+paWFDh48SMuXLxcW9YqKCurevbv1fdpWJd2EsPss68Xk
+Pf9n9erVuN5C1v0lfyApRB2iDpLLhAkTgnXr1nkj4VxEnKuMy6wrk09Pp9NUVVVlPZvOTdJ1CPtH
+H33EWtZVSHqYtIf9DmGHrEPU436gOdsWvci6KOpERIsWLcL+C5wjv6JuS9RNC32SJ0kSua+lpYVW
+rVolnE/nUE3nLOm6hV3HBEkmZT1MzIvdlv/zzjvv4PprkA54C9wW9WLby97HUdoh6gCibheVVXUT
+29F9HlPxZSIq2dlIRWIv6XSaysvLrbdkdEXUbR07XEmlUqHPP3t77v35/8+ld+/eAYTd4OeGt8CM
+qJuUdK4nF1VVdYg6cJGw6IvMsYmqup9V9aamJnr11VeFPrN0Ok29evWiTp06QdIlUVld19XGsdh9
+nPLr2d8//vhjWrNmDa7HkHWIelxJ5yLrEHUAUV/HQq65iToHmbcl8rW1tTRr1iyhz8x27MWHSroq
+Yf/www9Zy7oKSReR9uzPt771LRo5ciSuzRpph7fADVFPpVLODkpVJep33HEHdi4AUY8Jtz/hm4jI
+6HzNUUQ9k8nQ0qVLhUQ9nU7TgAEDrIn6Mccc403k5ZVXXlHyOj796U97dY7KuoVIrDbfSVKpFE2Y
+MIHGjRvnX96WEcisMxf1JHeNyRd1zE4KXCSuqNuQcNOdZDh/uVD9+JlMhubNmyecT7fZ7cXHXPpR
+Rx1FjY2N1q7Hcf7Co0PSRfPrpdb705/+hJO9zs8Kb4EadPRQVynqti54KqrqaNEIXKW6ujqIe0z6
+HJPxOasedn9jY6PwQFKbsRffB4/eeOONgaywh12PDx06FPo5u9LGMew2mShM7v+zP2eeeSZNnToV
+12vFIAajCJWirjryAlEHAKKu8nyBqrr8urt376ZXX31VSNSrqqqsiPqbb76ZSkKXlz/+8Y+po446
+KpaoE4nFYXzqxpb7PhR6T2pqaujxxx9HJEb1e4+3ID4qoy86Yi82ThYYUAog6uYkHFV18/fLrLt1
+61ZasGBByddrs9tLElsxlhpwKno9bm5uLrhfcOwME3a/6gr7t7/9bQw6VQgq64pEPW41XNcAUpe/
+1V911VXYwQBE3eAxjqq6msfPXfett94SEvWysjLq3bu3cVFPSjU9jGIDTm2PF1O1/0d9HcUGnYpU
+2B999FEaO3YsKuyKwLeeiEydOjVYv369koNa50nB1ar6VVddRXfffTf2T5BIUVctxdy2lZSq+rJl
+yyh7nSiGrXx6kic2yiW3wh71epytrruaXxetuhe6rVCF/a677kKFXQGorEPU2Yl63759IerAOVS2
+LuPaqpFLVd32bKal1m1paaFnnnlGSNQrKyuNi3qSq+m6rsef+cxnEvHaCy1X6PZHHnkEGXbIuh1U
+iLrLfdN1gwGlwEVRr6urUyKAvsdfTBQRdMp+qXWbmppo7ty5JbeT7Z/erVs346KOI7Y1r7zySsrE
+9Tgpg01ze7CnUil65JFHEImBrJslN6Nu49s755OCiqo6BpQC15gwYUJJUbeFi3LgclW9sbGRXnnl
+lZIdX7L9023k03HEhvPyyy/Hfm/yq+uq9lWXjuNCfvNf//VfqLBD1iHqPoh63759sZMBpxg3blzB
+2UmjHJOoqvOW/WLr1tbW0iuvvFJyG2VlZdS3b1+jEx0h9iLGkUceGXsb//Iv/5KYL9b5TlOqwv6r
+X/0Kwg5Zh6i7DuIvwDVEKuq2RJ3bxd/1QaXFqK2tFSpYVFVVUa9evYy+75B0cf74xz+yf69k91FT
+54EwUQ9j/Pjx2NGivL94C/wSdcRfADBDqc4vNiWcW091VaLMsQPMli1bhFoz9uvXjzp37gxJd4DL
+L7889oX04MGDkbu9FFtWdjmdHWJEerCH/f7xxx/Tpk2bsH9KgMo6RN06d9xxB3Y0AFE3fIybPF9w
+6BCjo6q+du1aIVEfMGAARN0hVOTX4+xfrmzS0FcAACAASURBVPz1rFQP9mLLVFRUIA4DWYeom0JF
+Vf3mm2/GhQUkVtQ5nwuSMgGS7PPLZDK0du1aWr58edH1sh1fTA4khajzIGp2XcU+antCpVKinr29
+R48eEHbR9xJvgfuibutih/gLgKjHPya5xmRcisiYvD+TydC8efOotra2pKhXVVUZG0gKSVePijjM
+Bx98ELqPmZ4QqdD/o0ZjVEyYlP3ZvHkz9t0SoLIewvjx4yHqBkD8BTi2vyZG1E3iUlW9ublZSNTL
+ysog6h6gIw4jewz40LaxlA/dcsstqLBD1uVFvb6+HqJeAsRfQJIYN25cwD1H6mo7x7jbMJVVb2lp
+oTVr1pQU9YqKCurZs6cRUUdLRv3EbecYFoHyaXKkqI6U+zNv3jwIO2Qdos4RxF+AK0ycOFHLpEcY
+VGp/AiTR59fS0kJz5849PHt1Iaqqqqh79+7GRB1Hp35UtHNM2uRIpXqvhzF37lwIO2RdDIi6GHGr
+6pj8CLjE2rVrrQm4y5MkcdiGii8Dzc3N9Oyzz5asqA8YMIC6dOliZJ+EqJslahwmWzk+4ogjvBNy
+HY40Z84cuv/++yHskPXCjB49OoComwGTHwFX0JFTt3U+cG2mUt1Vd5HtNzU10QsvvFByW4MGDTLW
+8QWibgcZ4c7teKLrWNE1E68pUc+PwmR//vCHP0DYw94/vAXxRd2krNsW9bhVdcRfgEuibrM3ss+T
+JLnQISaTydCzzz5bdJl0Ok29evUyIuqQdPuIdIcp5gJ/+9vftHeFEVlOxURJUbvElOoMk/3Ztm0b
+9vccEl9Zh6ibA/EXAFH3P/7iw6DSxsZGIVGvqqqCqCeIYtV1mWq6KU/Q0XtdF/nv3wknnIDqeu77
+k3RRjyvbSRJ1VNVBUkRdlzCjpzr/qntjYyO9/PLLRdcvKyuj7t27U8eOHSHqCSO/ui7rAE1NTUJy
+HfU+Dv3W8/+N2n/9448/ph07dmD/pwRX1l3qpe6DqF911VU42gB7xo0bF+g65tBTnX/V/eDBg0Ki
+3rNnT4h6QskdbBrFAeK2gnSRqK6USqWorKwMFfakyrqKFo0uXdw4PIe7774bFx3AmokTJwb19fWE
++Iu9LwY2z3cNDQ0lB5Oa7qGOo5KvfKrwBxuDS12T+FQqRTfffHPihT1xsj516lQloq5b9HP/RGRb
+1GfNmhVrG6iqAxdYt26dVxdMk5V317PsjY2NtHr16pKijh7qgIjopZdeivX5iFTXkzhxUlh3GKJP
+/rJ/3333JVrYOyTtBa9fv559Rd23gxRVdcAd2Zy6juOZa/zF1PnIVqtGkYx6VtQh6YDbdd+1Fo65
+Yp77+Pm/595ORDRlypRE7x+Jqqyr6PySu/P4Luoqnssdd9yBszDwTtQRf1G7jK0ITUNDA0QdRCJu
+dV33+YZjV5i4YwSPP/74xFbXEyPr48ePDzhX1LnEXvIP6DgRmL59+9LNN9+MCxBIpKi7/CWb2/PR
+EX9pbGyk119/veh6lZWVEHWghaOOOsqZ84fuc4qom6VSKerWrVsihT0Rsp4dUGr7m2GSLq5ERGed
+dRbOyIAtEydODHQeG5j8iO/5TyT6UllZSd26dYOoA1bX4CRk2QvNbpq976abbkqcsHsv66oGlHIX
+Yx3PJ+7AUlTVAWdRzw4o9SWnrvK5+TxBkoioV1VVaRf1N998MwVRd5u4UZhsdT3pXWFkJJ6IaMaM
+GTRp0qREvXjvZZ3rgFJusRfVBz6y6oAzUUTdhljbfFwOXwp0DSo9/vjjC64zYMAA6tKli3ZRx1EI
+uBz/nHLspXwtW2V/6KGHErUfeC3rqgaUiu5Erkp62MGKrDrwlWxO3fbFzEbHFt/iL1G2cdRRR9Hg
+wYPpoosuanNfVVUVderUCQcJEEZVdd30cRHlcbi5y3HHHZeY6rq3ss5xQClXSVf9vCZNmgRRB+xF
+HfEXt2U+7v1HH300XXfdddSrVy8iIurXr5+Rijqq6sCkQ0TtBGPDVwo5W35uPZek5Ne9lHWVA0q5
+CrHOAz9uVh0AjowbNy6SqHNv0+hibtVEK0eR7Xfo0IFOP/10+trXvkadO3fWLuo4Cv0kbnU9yr6X
+lLx6WEwm97bp06cnYsIkL2Vd14BS2YODq6TrPNAXLVqECxJgKerZL/BJbNOYtJ7qso/Rrl07OuaY
+Y+iYY46BqAPvr9OuFAiKeVzufZMnT/Z+X/BO1lXn1KNcJDhLepK+kQOQ+wVe53GC+AsfEY97f9eu
+XSHqQJq41fVS+6bofVGKiq76Qq7r+Z5f90rWTeXUc4U8/8f1b+pxIjDoAAM44mtO3ZQ8J6lQkH1+
+Xbt2VSLtEHUgio4Ylu5ZTHUez1Fczmdh90bWs/3Ugb0LJTrAAF9EnXte3NXKe9xt6L4/nzjSDlFP
+Hiqq6yL7Kv46Xhhf+697I+tc+6m7JOpxqurDhg3DmwwSJeo65Nrn+AuXQaVR7pcVdog6kCWVSlE6
+nbb6xb3YNrl8eSjWGYaI6MEHH/Ry//BC1nXn1JMg6go+A3wAgA0TJ04MdB8riL+YPQfZlP0gCKhL
+ly5CrR0h6slGprpeSjxNftl3oVovOoGSj3EY52V9/Pjx+HuQ5QOwb9++eKMBK7IzlOo6Bnxo04j4
+i9j9+fcVE3aIOpCRdBX7o8hyurPrNr9QF3offYvDdHD9Behq05g0UY8TgcEkSIATSc+pI/6iv6CR
+FfaGhgZIOogtlrmk02nav38/W4eQOebz/4373slsx7c4jNOVdcRfCh8oGIACIOrIqbtUMNAl+zqj
+AUcffXSb6eIByI/CiERdouyDNs9bLuBTHMZZWUf8hceBhoGlgAu5M5TqOmYQf3FLxE2I/Kc+9Sm6
+/vrrcT0CbYgj6Sav/VHWdUXqfZnd1FlZR/xF3cETJwKDgaWAAxMnTmzVutWXSrnqx0X8Rc3zC7sP
+wg5yidPG8bOf/ayyc4GKvLruwds68WV2UydlHfEXd7/lAqCDqANKbebUOVbLOT0W5/hLISDswNQ5
+wnarU5fcw4c4jHOyjvgLn4Oluroabz6wjs85ddNtGk1ItgkRtyXyQRDQddddF1x33XW4TgHvHMDl
+iZpcj8M4V55GVV3twREnArNo0SJ8EMB7UeeeU/cx/sKpVWPcdZ999lmcJxPM0KFDI1+o9+3bV3Df
+Eo23lFpOJjJT7DbZZWRuC/s9/0eEPXv2OHssOlVZR1Wdz7dY9FYHtok68ZGO4ww5dXXvg8tV9TBQ
+ZQcczksq8+pxzgU24zUux2GckfWpU6cGGFTKQ9SJ0Fsd2Ac5dffaNHKPv+j6M/+1114bXHvttZB2
+4IQP6DhPcTlf3XTTTU4eh87I+vr163FUKt7h40RgALBJ0nPqJgVa1XPinmk1UXGHsCePadOmRS5s
+HX300cbOHVG+yLrY2GL69OlO7kdOyPro0aMDIkp0VZ3TREeIwACb5PZTR07dnWV0yILK+1XIuAio
+sgPTxQEVcRidx7/pgfQuxmHaYdf35+CUJeqEDYjAAFvk9lN3RdRtPK7JL/aIv0Tb7jXXXANhB0a/
+KKp4bLSJhqyHkvSquokDA+MAgCvk5tRdOd645tQRf9Er4yL3XXPNNQGk3X/iRGFMH2cm5yew+WXA
+teo6a1lPcvcX3bGX2bNntxF2SDvgjO6cugsxGZMSjviLuaompB0UokuXLrHOJyJdWVTn1Uu1deQy
+4ZJLg01Zy3r2z91Jk0iblahS0o6JkICPoq7j+ERO3e34i659pNh9V199NYQdaDu3IcLSmunTpzsz
+WRJbWc/GX3AA2pP2MG655RaU34FRcvupY0CpOxdi1+MvcSMuUe+DsPuJziiMqaKEztiKrXPc5MmT
+ndh/WMr61KlTg1LS6KOkc5gyOF/YEY0BtkU9m1O3Leo+SC9mKXVjn7j66qsDSDuI+8Ve9jh0+ZiJ
+w6RJk9g/eZaynrSe6iYlPQgCmjNnjtR6WWlHy0ZgmiiiruvYQ05d3fN2Of5isuIOYQdErXPrUfdf
+UzOYqh5kasKPHnzwQfb7ADtZT9KgUo7V9GKceeaZOGsCY+Tm1HXs677EZEz++dhU1d3muVCHjMd5
+vK9+9avBV7/6VUg7MHY8qfxCHneQqanzB/fBpuxkPTuolMjvCAy3PsgiIK8OTGFi4qOkiLqNC7Qt
+mY77HDj8BacQkHb3UZFbF/3CqDoCY6LNos0v8txnNmUl60kYVGp6JlKM/gYu4uvERzaOW8Rf9Iu8
+yfsg7CDOecyV82Sx55zE6jpmMHX0AgxRB76Sjb+4NKDURrXctzaNts+HNmeKlH0uV111FU7uQMs+
+auM44eIq06dPZzvYlE2sIayq7ksMhtOJXnZwaZaamhpEYECiRD0pOXUTnV1UPI7O+7m2cRS5/YUX
+XsC52SGGDh0aWQjq6uoK7g86/y97W6llRPLsxf5f6H5V7Nmzh90xxaKyntuq0SdRNx154fQNFQBZ
+sv3Uk9z5xdecOuIv6r445IMqu1tEya2HtVF26VovM6hU5EuEbjhW11nIuo+tGm0cSBB14Crjxo07
+3E/d9j7PfYIkk9LPIadu8/G5xV8KMWzYMJz8PSIr56JzneiIwHCcFMnU8cmxlaN1WQ+rqrsu6TZO
+8BB14DIYUIqcuo3H4FZxj/M8hg0bFkDa/RB0HcIdtWKt+9yps+d7HLgNNrUu675U1W0Js4nHPO20
+03AmBdrgklPnLv+u9VM3IeJJib/IZNgh7f4Luq14iMy+quovWrYKKNxaOVqVdR8mQLJZ1Tb1uJMm
+TcIAJqCFKDn1JPZdR07d7P2c/lIZNR5w5ZVXQtiZSzonJ9AVgZGZDMn0ubAU9913H5tjyKqs506A
+5Kqou/QFIWonGAB0sW7dOnR+MXg+4lIxd/nczWFQqeg6EHaevPjii5FM/dhjj43dW51TBIY7kydP
+ZvNcrMm6y1n1JFTTAdDNHXfcEUDUeUm4qZw62jSak/grr7wygLT7iY2/HJrqs25jUqQwuFTXrcm6
+i1l12wM5IerAFyZOnBhw2J9tVY44RmSQU3cn/iKTYc8Sp783cEvc47RIjCLThe4T/ZfDObkQXKrr
+VmRdpKrO7aRpW9JtPT4GlwLVRGnT6OIU2b5ty4VZTH0acKpjnaFDhwaQdv/kXKXEc5LmKDl6HXCo
+rluRdReq6vmzZHE/IHVRXl6OsyJQSn19faI7v5icfVT149nehs6quW/xl2K3Q9iTKe62jhHT50XV
+cKiuG5d1may6rZZEXKr6iL0A3+CSU+cs6qYvboi/+B1/KcQVV1yBC4zn4q5rkKkKaReNwnA5Nm1X
+143LumxV3cQHxaWKzvELww9/+EO0bQRKGDduHAaUOijhSW/T6EP8pdDtEHZ7RO0IY8KLVJyrZHLq
+pgsHUbBdXTcq61E7wOiqmHGc+RPVdOArdXV1EHVD8mzyfGQ7p67z/fIt/hLGFVdcEUDa3SVqxVx2
+edPzEnDpBpOLzVlNjcp6nKy6qj/DchT03OeGLwHAR6qrq7XE31wbMGXqcZOUU7ch46Y/f5Xxl0Lr
+XH755bhIOCbnKsRX9rFUHjOis51yOU/bnNW0nWs7qahw5y/LWVZN/Elr7ty5OMsBK4wbNy7w8Rjz
+fUCp6/3Udcq46/GXQrdffvnlAaSdN926dYst4bIyr6t1o8xzSXp23Zisjx49WvkLDBNy7mIe9vxd
+3T4ApZg4cWJgO/7iQkzGNVFX8XxdHXDqcoZdFAi726gYWGp6P+ScV8/FVna9HXZr+weTie2nUhgn
+Csyzdu1aiLpjEp6EnLrr8Zco25O9/bLLLoOwJ8wh4vQ1NzV7KQdsVNeNyLqOqrrLB5itkdypVEpK
+2ocOHYoPDESGQ049KaLumiS42qaRS+VclZCX2j6E3T1xFxFdl2cvTWp1HZV1x78JR/kikJX2UuK+
+Y8cOfGggEjI5dXR+MXNuQU7djoxzjr+IbOuyyy4LIO3uu4RPs5cmMd6rXdZRVbdbTRcV9zDOOOMM
+nBVBJERz6uj8wkPCVb0XuuMxtgacmv5rjs34S6HbL730Ugg7c3GP+hcUnceOyDZd/Auj6TaOqKwz
+/AZs4zHCpB2DU0EUROMvSZ3J1Ncsu+1WkLZy9JzjL6pf46WXXhpA2t2WeBOtG3V0g+GG6TaOWmU9
+6iRIvhwoXGIvUaQ9lUrRN77xDYxKBVKIxl+SPJOpaenn8licc+q+xl90Zdsh7PyEXIfYR5V27uc8
+VZgcaKpV1uNMggRJd2unBYBILP6SZFE3fUEyVe1GTp2fxOu+/ZJLLsFFKCYvvvhiSsexrqJ1o6y0
+m+oGw8l9TA40RQzGQYGGqAOOiMRfbEQRfBR19FNXcz+ncynH+Eup5wNh98s3VJx/VHWDcSUO47ys
+J2lgqclqOnZa4Kqo27hwuCTqKl9DUvqp63zfEX8Re+xLLrkkuOGGG3BhYrKfm6iwqz7+XYzAZDnu
+uOOMPDFU1h2RZ0g64MqECROs5tR9EXUfB5Ryrpoj/qLu9gMHDtDFF1+Mi5SHwq9j/41SXU+6B2mR
+dd8HlpqWdIg64My6deusiTpaNNpbhrOox3luLsxgym1/zt5+8cUXB1/72tdwwbIk1rLHtq0JkVzY
+12Uw0cZRi6z7OrDUtDhD0gF3RLq/uCTqXGMt3L5gJDWnjvhL6WVRZect9FH2IZ3dYEx0mtGNiTaO
+iMEwvaBC1AF3JkyYEJTq/pLUXuqqpFf1tlyY+MjmuZNL/EXlOrYE/t/+7d9wEXNkX48j6LLdYDDA
+lImsjx8/PvDtYDBdTceOCVygVPwFLRrdE3UOEx+5llPX9Vw5fRGKKvAQdjufkY7BpLL7tYoIDKci
+QCl0R2GUy3p9fT0k3cK3ZJd3cuAepbq/QNTNHbvIqbt3fnVtsGmcbUDYefuCzW4wsgNMOaM7CoMY
+TIig25B0XX/Wh6gD1ZTq/gJRd0/Ck55Td6FNoyvxlzAuuugiXIgMibvJCZHy70t6j/VJkyZpe7Id
+VG7s7rvvDlzf0X15bEg60EWx+AtE3ZyomzxX2M6pJ2UGU1Wizum6lV02K+yvv/56igCL67uq3Lrt
+8w8XHnzwQW3bbsd1JzK1w9s82UHUgWsUi7/4KOqcH1f1RW7Lli00Y8YMOnjwoPLnbDPeksQ2jZyq
+6vmgyq5vnzJZVZcdWCqyHgaVGpR1SLo9mUbsBbiwT/vYIca00KteprGxkebNm0e1tbX0/PPP05o1
+a5Q9jqs59aS3aZS5XXbZr3zlK7hQRSCVSlEqpf4PEyqq6qp6rLsu7LoGmiqT9dwIDMc32FYe3ZRM
+Q9KBbgpV1Tnse9xjMpxFvbm5mZYuXdrqvmXLltGMGTOoqamJtaj7MoOpKiF36Tj7yle+Elx77bW4
+cBUQ8rCfKJIrI8KmojBhAu+LsOsaaNqOw0HtqiBzkXSIOnBF1H2b9MiHzi8LFiyg2traNsvU1tbS
+Sy+9RDNnzqTm5manRD3Oe+RCr3VV2zIZfwlb9sCBA3TNNdck9gI2bNiwoJSQc/kCJhKFKbU/+TAB
+ki2UyPrUqVNZVdw4VNFNvAcy2x44cKD09qdMmYKjCBTs/gJRd7/zy5YtW0JFPV/an3/+eVq7di1l
+MhkWF1jfc+o2hVxn/CWMAwcO0Je//GVcawTZsWNHm/fTRG49yjlGdju+ZNZ1dIVRIuuqukOokPOk
+VPTxbRSYIuz4hqi73/klm1MXZdmyZfTss8+WlPu4MhD3PfEhp+5z/KXQshB2u59r3Ix6nP3Dt5lL
+Fy5cqHyb7UztEDq6L3CMgJiQ9Kjbt/3nNuAeYfEXDqKu4/GTkGXP0tLS0ianLsrMmTNp6dKlodEY
+E6Ke1Jx6lHW4xl8K3XbhhRdC2GNIto0e64XkXba3uk/oyK3HlvWHH344kNnBZCU7fx3OGW3bvYbj
+yHsYdXV1OCsmmLFjx7IVdR96qat8rTLLtLS00IoVK4Qq5IVYv349vfDCC62iMbZFPc575ONgUy4C
+L/taIex2hV/kNsxcWpr77rtP6YuILev19fWxPrBSP67s9Fyr6XHE/eWXX8YZLcHkH9sQdT+y7O+/
+/35otCmdTlNZWZnUZ7x8+XKaO3cuNTQ0tJJ2HV9KXMqpq36eumMxOvPrUda/8MILA0i7nGRj5lJe
+TJ48Wen22mHX5y3RNnZYRGVAscmPfBJ1W8erLVFvbGykv/71r6HL9e7dm3r27EmVlZVSr3n37t30
+xhtv0Ny5c6mlpUXLZ+JTxV2VMJvalk4pL3XbkCFDIOwGhV/kNpNtGwFknb1EoyUjsEVY9xdfZydN
+Si91IqJMJkMvvfRS6HJVVVXUsWNHat++PXXr1o0GDRpE6XRaWtr/9Kc/0datW9tU2V2cwTTpbRpN
+x18KAWGXE25bVfUoy3EbSK0alV1hYsm6TF7dpwPExWo6xB+Ikh+RgKi7L+pERCtXrgxdrqKioo2Y
+d+zYkfr27Uv9+vWTlvYFCxbQvHnzqLGxUYmoI6eu73zOLf5S6DYIuznXUD3A1PSXO048+OCDyrYV
+S9bj5NUh6WakGhV6IEN+/MUlUddxnHEcKBqF2tragjn18vJyat++feh6nTt3pqqqKqqqqpJ6vN27
+d9Orr75Ka9euLdg1RrfI+5pTtyX3NivtQRDQBRdcgAtZyHtpY+bSqOOXkjCwVBeRZb3QREiQdD6P
+k7+9/v37Y48HBcnv/uKaqCell7rs4x08eJBmzJgRulxVVVVBUc/Svn176tKlCw0ePFh6AOqKFSvo
+xRdfpKamJlaijjaN5ic/UrH+BRdcECRZ2rdv3671PKJrgGnULxxAgaxD0nk/jqptPvnkkzhyEkLu
+X8og6n5EZDKZDD3//POhy1VWVpYU9Xxp79WrFw0YMEBa2l999VWaNWuWcMeYJERjTHSFca3Puuht
+gwcPdv66NGzYsCDu/utCVb3Y+kmQ9JtuuknJC4ss68VmLYWkmxVqW88f+ENuVR2i7n4v9Sw7d+4M
+Xa6srIy6desW6bl26tSJevXqRZWVlVJ59t27d9Of//zn0AGoKt8r5NTVvq9cBprmb88HYbfpOXE/
+O1XtGk3u4zZQNUESKuuWJN2VQaSYGMl//vCHPwTZqjpE3Y9e6kREDQ0NNG/evNBle/bsGXu/6dat
+G1VVVVFFRYXUegsXLqT58+dHngFVh6ibLsZw7AoTdxumKu25JE3YbVfVTX1JAJB1q5Kua8fU+Rpe
+ffVVHCWeU1NTA1H3TNQzmUzBfuqDBg2Sir8Uo3379tS9e3e65ppr6Ctf+Yrwert376Zp06a1qbLb
+GlCKnLob8Zew284///zgxhtvhPFFkPyot+mcDAkolPW777478GHnNS3paMkIuJGNv0DU/RF1IqI5
+c+aELtuvXz/q2LGj8v3oueeeS73xxhspIpKaSa2mpobmz59PjY2NyKkj/hL5MWpraylJwm6yr7rq
+qnoSB5Yed9xxsV9c4irrNjLduh4vynbREQZkycZfIOpud4fJZ8uWLVRbW9vm9rKyMurcubPyx3vz
+zTfzBV1K2vfs2UOvvfYarV+/vuAMqEnNqauUbJ/iL2HU1tbSFVdc4a3xbdu2TanYR71N9WRIKDhC
+1llIug+DSNERxk9qamoSL+o2HlfnthobG0Nz6ul0WklOXUDU86VdmJUrV4Zm2V3qte5LVxgdy5q4
+rbGx0Qlhj9oJppjoxq2qxz0HoV0jZD221Poi6bZ26qVLl+JI8YyxY8cGEHXzcq3zeWUymYLHaq9e
+vZTl1AVFPVfYparsL730Es2ePVuoYwxy6vwE3tbkgdnbGhsb6bzzzoP9kVxVXaQSLnsuLBV9SRL3
+3XdfrBcuLesPP/xw4MIOamuH0Cnptl7TqlWrcNbzDJkuP0mfxdT0F4moy+zcuTM0/lJZWUmdOnWy
+vctJS/uCBQto9+7dkd8n5NTV7cc24y9Rj4dzzz3XOyvkklWPOwlSEsV969atsdaXlvXciVM4CrrN
+k5wL1XT8uQmMGjUq0LG/JDXPzkHUC8Vf4vRTL4ZgVb2QtAsL+5w5c2jFihUF2zxyF3XV52LEX+SO
+EZ+EXXZ/sT0ZEjLrrYnbb72d6zuv7UmAdEu6DlE/66yzKJVKUSqVIpAsfv3rX0PUPRP1TCZDL730
+UpvbLeXURYVdeBsbN26kl19+mfbs2WNFqrlIvI3bdVXaVQprqcf1QdjzB5dyrqqLCj8Kh57LOgdB
+1y3pOnbkQtvLSnvuTxhPPPEEjiwPEJ152HZExQVRV/nc4ixTqE3jcccdZyunLiztF154ofDCc+bM
+oTlz5hTNsuvKsJsSfN2xGNcr7VHWC4KABg0aFFx66aUsrmFRBpfangwJVXU1TJo0KfILlpL1KVOm
+BDbeXC6CbmIH01FNl91emMAvW7YMpus4ovEXnaJua5CoreV0L9PQ0FAwp64j/qKaf8q/VDTmf/7n
+f6ipqcmIPKuWbtVCbrpNoykpVynvWaLsMz6Bqrp99u7dG3ldKVnPVuV0ynPutjkJug6RtlnZAQCi
+7oaoF+LgwYMFZyn97Gc/q0usdSFVZX/99ddpw4YN1mRctVyrlGkOfdZNTogks94555wTXHLJJc5e
+GF2oqos+ThL9ZPLkyWZkXUSufRFz05Juupp+5plnSm3z7bffhsk6jEhVHaLOc9KjQjn1hQsXhi4/
+YMAA5bOUahb13McQfpxVq1bRc889R01NTU5MisSxn7rJuJuN+EsYTU1N5JKwR+0iokraC8l7lOp6
+UiVdBcoz62ECXujHhW+xtqZ65rK9XJBbd5OxY8dC1BmKepxtmWzTaELU80gNGTJEeOHXX3+dVq5c
+aex8aHtAqe7XoWOgqc34S9gyTU1NdPHFFxu/nnGcDElnVR2TIDGWdR8wJemuxV5kenMDPpT63CDq
+bol6oTaNFRUVTuTURZg+fbpUlf3dd9+luXPn0v79+9lJt2ohd61No+prmqrjsKmpic4++2wvrRFV
+db5EHWQqLOtTpkzx/l12WdJNHASvW0Gc3QAAIABJREFUvfYazNcxSsVfIOpudYcp1KaRiKhr167K
+9x8LVfV8hB9/7969NHPmTFqxYgVlMhnk1IlHm0bT8ReR9XJ/d0HYUVX3h0LxRWWyLtryDZJu5oKv
+YpuyuXXgFqV6qkPU3Rt0WqhN46BBg3yIvxQTduHnsmnTJqqpqaFDhw4ZE3Xk1PnEX0Sz67kMHDiQ
+pUFu3bpV+n3gWlUHnxB1cqREx2BMSrqr1fR8kFt3h2JfsCHq7ol6Y2NjaE69oqJC+YBSpkhV2V99
+9VXavn27NVGP8jlzyqnrkHLd24tTZdct7HHy6qIiLrOs6ao6xD0eiZR1k6LLrZoedztTp07FUeMA
+999/fwBR90fUi8VfdOTUGVXVw4Rd+LktWbKENmzYUHQiJV3nUNdz6joqpbraAMqKeaHfBw4cGNx4
+441srFJFq8Y4gq66qg5hh6yzlHTO1fTsds444wwcBZ7x+9//Pqivr4eoeyTqhbqduNqmUYW0X3DB
+BUILrl69mmpqamjPnj3GpNuVnLquY9SV+EvYNrZs2UIchD1qy0YRgZeJvcg+JiTdoqy7PrjUdUlX
+vbPjwPGbmpoaiLonok5EdODAgdBIU0VFhc859ZLMmDFDWNjr6upo/vz5NG/ePGppabEi3TaF3OU2
+jbrjL2G/b9myhfr376/sQhklAqN6AqSosRfMWqqe++67T/rNEJJ1VweXms5165zRldO2Ro4ciaOO
+KYXiLxB1NydGamxsDJ2lNJ1OU/fu3RO/v8+YMUMqFlNXV0cvvfTS4annkVOPvg1bTRl0xF8KoVLY
+Tb1PolEYlZl1VNXliDKTqXcxGBuTLrleTZeNwqxevRpHG1PC4i9JF3Ubj6tiW5lMhjZu3Bh6X48e
+PZTvOy5V1UNIDR48WOa10oYNG5SLetJz6i7HXwr9bkPYt2zZUlLEowww1dUJppS4Q9jj442s2+iO
+olPSuVXTAX/CeqrrzKm6IupcJjOSXa6+vj70r5qVlZXUuXNniHoeM2fOTMlI+zvvvEPz589vE4uJ
++pm51L5Rx7I+xF8K/X7WWWdZuYiqjsKI3IaqOmRdm9T6Iumqd26ZbaVSctfqn/zkJzgKHTg+fFyW
+s6irel4HDx6kGTNmtLk9nU57M0upTmkXFfa6ujpatGhRq5lPfZ74SFaqOMdfdFXZCxFV2FW0bIwj
+9lE/S1TVIetOCrruHU/1a5LdVr9+/SiVShX8yQezmfIiv6oOUbfzxVfFl4NMJlNwlrvevXsr33d8
+qKqHCTsJZtnr6upo9uzZtHHjxqItHm1WznV/EdCxrO0Kusgysr+feeaZ2sUjG4GxOWup7PkOVXXI
+ujaZ5fT4qqVCx/MUEXhgh8ceeyyI+vknXdQ5RmTq6+tDJz/q169fUts0xjp1nX/++UILvvPOO7Ro
+0SL629/+puQcbTO/Hncb3DrC2BDzQr/LCLsLVfU41fVS24OwF2bSpElSb05JWbfVtjG3gu6zpLvc
+kvGhhx7CkciA9evXR/r8XZP6JIj6wYMHD3+euZSVlSnPqSeFt956K3XDDTcILVtfX0/Tp0+nHTt2
+CH1uHAeUcqyeq9ye6fhLGGeccYbWB9DdqlFGzGWWw2yl4jz44INSy5eUdZNtGznIuauSrmJ7p59+
+utTyr7/+Oo44y2TjL76LOufWiyorgQsXLmxTVU+n01RWVqZ830lAVf0wzzzzTIqIUuedd57Q8suW
+LaNVq1ZFisXYFHKubRpVdXpRte24v+sS9i1btgh9hjpnLY2yLGIw+rEag+FSPTcl6Tp2YN0Zx1L8
+7ne/wxFpiWz8BaLuh6hv2bIlNP5SXl6e6MmPVDJr1ixhYd+yZQstXryYDh06JPWZupJT96FNowkx
+L/Z7MWFXEYGJ+nnICrroYFJU1RnLuuoWgtzk3KSkc6ym529Htrr+hz/8AUeRJdavXw9R90TUm5qa
+aP78+W3uKysroy5dukDUFQs7CQ4+ra+vp9dff5327t2rRdRVyrfJbXDJqZuOv4Q9Xr9+/ZQ8aH5V
+XWUURmWrRtVf+oAiWS8k2rI/XHFN0k1UYgB/7r///gCi7oeoExEtXbq0zX2YpVQ7wl9aampq6O23
+33YuFhN3GyZEzLX4S9h9qoQ96vtmslUjquqMZd1HTHyJ0HFS4yjqGGhqlt///vdBXV0dRN0TUV+7
+dm1o/KVHjx7o/mJA2M8991yhBbds2UJLliyh9957L5IEcbldpTDrEH1dg0x1i/vpp59+eIEoERib
+rRqjPlcIO2TdeUnnXE0vtS0MNOVN7lTUEHWzX0xVi3pzczMtW7aszf0VFRWYpdQQs2fPlorFzJ07
+l0S/LHMTdW45dVMVdNXHfaHt5wq7DJs3b44s7Taq6jrfa5BwWXdZ0l2IvWCgqRkee+wxqaq6a/Jt
+S9RNTsySpaWlJXTyo3Q6TeXl5djZzSNcZa+pqaEFCxZQS0uLtQGlqr4g2JJyXQNIo6wTNf4S9nvf
+vn2NXQtV9FePGqdEVT0+Mr3Wi8q6rR7rrkm6rp3UZDU9pEIgtTwGmppBppUqJjziKerZ5erq6grG
+X9q3b690v0FVXQyZKntDQwMtXryY/v73vys5dycppx71uXDoBiOy7Pbt24Vfd5yquuz9KqrrMo8L
+ipM7cD2WrLsu6KZOMEmtpgOzjBw5MtCx/0DUzYt6S0sLzZw5s839OiY/gqhHIjVo0CAhYZ85cybt
+3LnTqJCbnI00jlzHeRyX4i9h923btk3rFxqVnWBEs/CYpdQeRWV9//79Tkq6y49ls5qej2x1/eyz
+z8ZRy+AY0LU8RF3dZ7Nx48Y29+vo/gJRj86cOXOEhJ2IaMWKFbR69WprQm76WNZ9G5cqe9xlSwm7
+bFVdxecTdxZTCLs6Jk+erEbWZTtOQNJ5bFdH/3VgF5GqOkTdje4whQaV9urVS3n3FxBf2EkwFrN1
+61Z6+eWXpWIxqo5Zk5X2OMeFS20ao4p7/n1bt26Veu5cB5VicKldnI3B2Ojhzl3SVYp6lr59+yqX
+SiDHU089BVH3RNQzmQw9//zzbZYpKyvDLKW8Ea6yv/XWW1RXV0ctLS2Rz8lcJz5Sfd0xOcg0zvOK
+0poz974wYc+tqkd9bqZaNRbbDoQdsm5Ebm0+JrcZTQttI5VKCf+sWbMGR5ViampqIOoeiDoR0apV
+q9osk06nqWfPntjRmTNnzpzUtddeK7Ts4sWLS0YgOA8ojSvXtmcptR1/CVuuUMtdG4NKMQESZF2r
+LPsk6Zyr6XFBdV0djz76aABR90PUGxoaQrv5oPuLO/zpT38SjsWsW7eOampqlMRikpBTV3WccYm/
+hC2XL+yq4y+yWXOZfQExGMg6K0HXKemqd25Vz7PUNk477TSp7aG6ro7169cru/hC1O1tK5PJHB6A
+mEs6nUb3FzdJnXPOOSUXamhooFmzZtH7779vTNRt5tRVPoaKWUrjPte48Zew5TZv3hwpAmMrs65C
++IFnsm5T0E1IOsdquq7Xe8455+Bojsl9990XmBRfiLq+bc2dO7dNT/V0Oi09JgTwYe7cuULCTkQ0
+f/582rlzp5UBpXElWvX6nCdD0iHuIsJtuhNMKelGDAayXlDObX7wrkm6jdiLbHUdxOOpp54K6uvr
+IeoeiHpjY2PByY9Ug6q6eWEnwVjMqlWraM2aNYcHnuoovtiUctdz6qriL1HFO4rYywi6yGOhVSNk
+PVTQbaP7eegeoW76NcsK++23344jOiIig5FUS7KMtELUxZbJZDKhbRorKiroiCOOgKj7Q+rss88u
+udC2bdto2bJlrXLspmMupqScU05d5fqmKuyi68WdCAmtGiHrbSrnXD5oE5LuQzU97vpr167FERaB
+Rx99tE1V3bVZSSHqn7Bq1arQqnp5ebnyQaXALvPmzRP6srRv3z6aPXs2vf/++6w7wtjIqUcRa93n
+ItW5dZM91eNGVlBV90jWw4Sc64Q6SZZ0VaL+pS99SWo9ZNflyR9U6lrHF4j6JzQ2NoZ2f6mqqkL3
+F38RjsUsWLAgdKChjzn1qNtC/MXuREgqhB8YkPViIu7SDJeuSbrKA4NbW0dQnPxBpT6Luo44DRdR
+J6LQ+Es6naYuXbpA1JMh7SXZsGFDqxy7jY4wcdbX2enFhJi7HH8RfT9lZRsxGLNMmjRJ6E1t5/Ob
+YOILhQ5J5yLqhZ4Lquv6yI2/+C7qNrZpaltr164Njb/06tULO3mChH3gwIElF9q+fTstX76cDh06
+pOR4N9W60fYgU+6zlqr8f7H7ZavgaNXoJt7JuqmqP/dquul8eikef/xxHO0lyK2qQ9TdFfWDBw+G
+VtWrqqqoU6dOSvcZVNV5M3/+fKFYzL59+2jmzJmt+rHbOF7jVFNt59Rdj7+IPl6U2zBjKWSdnaS7
++Dicqumi25Ctrj/zzDM42kqQrapD1N0V9UwmEzqwuqysjNLpNEQ9uQh9VjU1NbRr166S+5qO6rmJ
+6wzXnDqH+ItoJV1W0KN+RhhUClmHpGuSdF2xF1WglWNhRo4cGUSRWYh6wGpb9fX1oYNKy8rK0P0F
+CAn76tWrC/ZjV3Ec2YrEmMqp69wep/iLqKCjVSNk3bqguyrpqg8CW4NIv/SlL1EqlRL+CZMY8Emr
+Rp3iDVE3s61MJkMbNmxoc3tlZSXiL0BK2Hfs2EHLly9vI+yuDiiN+pgq2jSqatvILf4SRdBLPS6q
+6pB11uJsQqh1vAYO1fRTTz1VavlBgwbhyM9D9kuMr6KuujuM6W3t3LmzzaDSdDpNxxxzDHZy0ErY
+r7zyypIL7d+/n6ZPn3544KnLA0ptTYZkuoouulzUTjAit0UZXCq7HQBZL3gBNy3pqKbrW/+BBx7A
+WeCf5LdqTLKoqzw2TYt6Q0MDzZ8/v83tOiY/QlXdfV588UXhfuyzZs2i/EnSVB2zJpokqIrImPxd
+5r44cs6ppzoGlULWnRB03ZLuWzY9d33Z6vr06dNx5P2Turo6bTLtU290W8uJLJPJZOj1119vc3tZ
+WRl6qoNSCH2ey5cvp61bt7aKxXCMxOhaz7U2jXGej8me6sXkHKIOWWcl6EmSdBUHoKrngcGmcu8B
+hzy7K5V3k6IeBAFt3Lgx9L6ePXtC1IGQsPfv37/kQhs3bqQVK1YUHHhqUspt59RNtWmMKueq4y+6
+eqpjUClknb2guyLpKg8enbEX2eo6Bpvq+dx0Vd8h6uHLNDU10fLly9vcN2DAAHR/AcIsXLgwNXTo
+0JLLvffeezRjxoxQYUdOXa+4c4+/xO2pjqo6ZJ2VoOuUdNU7ucpqetw/0Ymsj8Gm4ohU1V1rzZg0
+USei0Kp6WVkZur8AaaZNmyacY58xYwb9/e9/1yrqcY55Wzn1uNuLch+n+Evc5wFJN091dbXQMa9d
+1nPl3PaOoFvSk1ZNNyWtvvHII48Eqt93iLp5Ud+9ezetX7++1X3pdJq6d++OKxCIg9DFe8GCBbR1
+69bYEq16fZs5dVVtGqPKue34CwaVusekSZOE3nClsp4v5lw+dNcknUM1PepBizhMafIFD6Lunqi3
+tLTQzJkz29xfXl5OHTt2VLq/oKqeTGE/88wzSy707rvv0pYtW4xIues59aTFX0o9Z8RfeKC1sh4m
+5dw+ZN3PS9eMphy2E/e1nXLKKVLLJykOM3Xq1ACi7raoExWOv6D7C1DFokWLUpdffnnJ5TZt2kTL
+li0rOvBUh5S7nFPXOUup7DlJV/wFPdX9op3rUm5ConVJternbKuaruLxkxKHqampgag7LurNzc2h
+g0rLyspwRQFKefnll4Vy7O+99x69++67dOjQIVYDSnXk1ONe03T0V1ch9LbiL7q8BhiUdZcwJekc
+Iy+qTmgqBxnJVtfXrVsnnN1ylTFjxgSqBJmDfCdR1FtaWkK/cFVUVGBQKdBJyX1h165dtGbNGvrw
+ww+NS5jJnLqNNo1RhNx0/EX2s0JVHbIOSTcg1yqfm671ZYX92Wef9fpg0zULoQ1Rt7VNm6JORLRj
+xw6qra1tdVs6naby8nKIOrAu7O+99x7NnTuXPvjgg6L7OHLqairsspV3nfGXONV0CDtkXas8uyrp
+PlXTS61/yimnUCqVEv4ZMWKEl2eNsKq67go5RF3tMi0tLbRgwYI2y/Xo0QM91YExYT/jjDNKLrRw
+4UJqaGgwIuoqoi46xNxmm0bu8RcMKoWsOyfPrko612p6XDZs2EDjxo3z7uyRX1XnlE+HqIstEzao
+tKKigjp37qx0X0FVHRRj8eLFQsK+atUq2rZtG/3jH/8wJuqqjjcXcuquxV9UrQ8g60VlwlVJV3lQ
+qJJ004NI+/TpI7X8zJkz6Te/+Y03Z5L8wbM+DyT1VdQbGxtDB5V269YNVxFgRdhJIBazefNmevfd
+d42Juqoqexz5jvN4pnPqJuMv6KkOWXda0HVLOpfIi45qhQy9e/eWWv65557z4gCbMmUKRN1xUSci
+euWVV9rcVlFRgZ7qwDYl95fa2trQL5qqrwVc2zaqWFbHdRzxF+CkrNtoDemKpLsu6tl1ZYX93HPP
+df5ssmjRosjS65p82xJw3aIeNulMWVmZ8plKIepAl7C///77tGLFiladYjgMKOWUUzcRf4nzxQfx
+F8g6C0n34TF1tHa0/ZzirK/i/XBZ2LODSnWKNxdRt7FNE9vKZDKhg0pVizoAJoR97dq19MEHHyQ+
+py7zfHXGX0QjMYi/AOOybnOCJd0zmnJ7nhyq6fnIVteJiMaOHevkmaW+vl6reEPU9W9r3rx5bW5D
+/AVwFfbTTz+9pLAvWrRIqBe7yzl1mW1FadMY53pY6v3RHX8BHsr6ySefrFzQbaBb0jlGXmxV00VO
+DLLC/tZbbzk34PTee+8NdIu3S7lz05EWFaLe0NDQpqc6EaGnOmDL0qVLSwo7EdGCBQtoz549ykU9
+inRHEXNV3V5kpVpG6EWlXPS9URV/gbjzYfjw4Wpk/bbbbktF3TlsC7pLkq7qALLd0lHm8X0fcFpo
+AiSTMq1LqnUJvenlSi2zevXqNrf169cPPdWBF8K+fv36w8Ie5VjhOvERt5x6HGlXFX9R6RnADrFj
+MPlizmFncE3SuURedFbTVQi7K/l12Ymd0EOdn6hv2bKFdu/e3eq2dDqNnurAGWG//vrrhYR9+/bt
+JXuxR5X3uGKuc3tx5VzFuqbiL5B0njzwwAPC5/92sgcGNzEPe25Jk3RXqukqHpe7sOe3alT53qE1
+oxlRLzSotFevXri6AGf47//+b6Fe7Fu3bqVdu3YplXBVYm47px5FyqMsi/gLiC3rHMVcp0Srllmd
+23Oxmp6/bmVlZcFlUqlU6M95553H9qxTU1Oj5b2DqJsRdSKiuXPntrmtoqKCOnXqpHRfQVUdGEJI
+2Ddu3EgHDx6MLeG6xNx0Fb3YfSrjL7qq6zodBjCUda6YknRU09WuH/bcKysrQ6W8GLJRExM8+eST
+gY73DqJuTtQbGxvbxF+IMKgU+C/su3fvpiVLlhzuFOPSxEc6qui2ZimNI+iopkPWWQl6UiVdlWRz
+jL3Idh7auHEj3X///azORLkTIKkS5KROdmRD1IMgODw1ey4DBgzAoFLghbCfdtppJReqqakx2rbR
+5gDTKBIvc65REX+JWtyBsEPWrUm6i4/Dqa0jx77rcYR99uzZ7ITdtEzrFnVb27Qh6uvWraP169e3
+ue/Tn/600v0AVXVgi+XLlwsJ+5w5c6QlXOTY03195VBhF92G7GRFiL9A1lkLusuSjmq6/LpRhH3C
+hAnWz0jFYjm6K+Q2q+Q2BVz1n+T37t3b5j7VVXWIOnBV2GXl3UQcRtWyceRc1SylUcQc8RfI+mGK
+DQB0WdBdkXTfq+lhnHTSSVLLv/DCC1YPol/96leBqvcA3WHsTIq0bNmyNln1qqoq5YNKAeAi7Ndc
+c42QsKsaUMq1n7qObLqKwaWIvwApWY86MZJtaYaku1NNDzvhyAq7zQ4xYdEJ30XdVpZdx7aam5tD
+P8MjjzwSVwjgLc8991zq6quvLrnc3LlzQzskxTlufcupy2xT1yRIca49wDwys5cKybpuYXZd0lUf
+GFwmSDK9bthn44KwF6qqo+OLG6Le0tIS2m6zX79+1LFjR6X7CiIwgBt//vOfhXqxZ6VdRwU97jWG
+WycY2ft1xGAAf2QmRDIq6zYnU9Ip6aimqxF1VZgW9rCKrGsdX3SIukym3JaoExEdOHAAM5UCICjs
+8+bNiyXSceU7zuNxaNMoI+iy524Iu99olXWbEyrpfGxukq6jWmHqNZRaT7a6blLYx48fH5gSb9dE
+netyucu0tLTQG2+80WYZzFQKIOylhZ37xEcqc+oq1kX8BbCRdZvVcx0irXvbPkyQZCLXzlXYN2zY
+YEy80UNdragTUWhPdcxUCiDs4sIuI6cu59SjtGYsJNaIvwDjss5Bzl2VdC7VdJuDSGUoJuxhs5+m
+UikaPny4tp0yt1Ujpwp5EnuoRxH15uZmWr58eZvlMFMpAGLCPn/+fOHjzfcZS6PcX0rQRc9pEHb3
+GDJkiB5Zr6ysbCPmXHaMpEq679X0sPV69eoVKuWF2LRpE51//vmB7v1P1+tPamtGGVGPuq21a9e2
+ua2qqgozlQLwT2E/9dRThYRd94BS2S8CUe6z3aZRRsZltwP8QEjWTbRvjCJvrki6yoMpSdX0sPVO
+PPFE6W2oFvZ77rkn8D2f7rOo79u3r83A4HQ6TV26dFF6zKOqDlxm1apVQsK+YMGCWMeuCzl12e1E
+vQ2xl2Tw9NNPS18b2rn0AnVX9Dn3X3e104tKUeci7PX19Vpff1J7qJsQ9UwmQ6tXr25ze48ePXAF
+ASBE2AcPHiwl7CrFPKqMiwp2FCmPsqzMJEilBrZC3JMJe1k3EbvxWdJVHMy2Yi/FiCrsjz32WKw3
+45577gl0vXccYjI+zF5a7H3ctWtXaKvGI444Qunxj6o68IWZM2emrrzySmlh5zpjqchyHNo06mjz
+CCDrTgi0S5KuSrK5tmRUsd6JJ54oLe3Tpk2jMWPGRH5TZKrqXAaS2uqhrkKuVcp8JpMJrQD26tUL
+WXUAivDiiy+mhg4dWnK5hQsXGhH1qFV0HTn1YlXzqPItk1cHkPVWVFZWGhN0FyVd5cGTxGp61McM
+goC++MUvSq0zb948ilJhF+0ug4Gk9ic7CmPnzp1tbquqqkKrRgDECh1Swq7ruqqziq5iXbRpBMWI
+0glGStaHDx+u5QJksrsM90mSXJ/F1EZcJoussE+bNk1La0cMJOUZj8lkMm0kIp1OUzqdxtUDAAlh
+v+KKK0ouV1NTE0m2ZYS7lDhzyamLCLro84Kwu0///v31yrqrgu6CpKs6+Fxt56jqfZcV9k2bNgkL
+u8hyEHW+OfawadJ1xF9QVQe+89JLL6VuuOEGIWHnOPGR7TaNUarsql0B2KO6ujrSNcKYrNvoz+6K
+pLteTdc1iDSKmEUR9sGDBxfd6BNPPKFU1LkMJE2KqDc0NIQOKv30pz8NUQcgAs8880yKBCZPWrRo
+kTJRtz3xke2cOiQ92WiVdVsTKCVF0lUcwK5U02XWKyXsYZMqFRP23AuOSfHWKeq2tmmjM8w777zT
+5nYMKgVACULCzq3CLiL3JnPqsiIPIOvK5NzGTuWCpKs64Fyspkd97VEer2fPnkXlPIzBgwcHTz31
+VKDrtbjU8cWmgKsU9XXr1rWpqpeVlWFQKQAGhX3x4sWRzzuqJz6Kc15RkVMXkXPZ9YEbRB1cKnSQ
+5XPXXXcFKqWTg/ia2jaHSnrcbXBu5Ri2zqZNm6TXHzBgAD300EMposJZdeTT9Yi6ym21tLTQvHnz
+2sj64MGDlVbVIeoAfHLYlVrgjDPOUDrxkaicm4i/RM2ui/wLUfeDPXv2RL5WSFfWbVbOw56HC9vm
+FHlxpZquQtSJiCoqKqiiokJqGwsWLKDBgwcHv/jFL2KLumttHH0RdaJPWjXmi3plZSXiLwDoQbrC
+7tLER7I59bDbkFMHxmTd+lf3hEq6q9l0U7GXUuvICjsR0bvvvmtMvF0SdZmoii1Rb2lpCW3VeMwx
+xyg9Z6CqDoCcsC9ZskRI1KMOKNU58VGpx5GppJc6h0HYQSxZP/bYY60JuiuSrvIAQzVd3Toywt6j
+Rw+j4o2OL+pEnchcq0YAQHRhFxFumXOBqhk+o0p7MXmPIuUQdX+Ik1ePJOtHH320cUl3aftcqukq
+1je5rq5WjqUkXMdj6KyQJ7Hji6yo79u3L3RQKVo1AsBH2JcuXSok6jZaNopuQ/dspcAfok6GFFnW
+dc1kmn9xhqTH24aNxzcl3FEfK7t8KWHP3h+l4u1SPt0FUY+yrbBWjWVlZaiqA8BU2EVkXFTAo8g5
+x5w6hN0vok6GFFnWXRV07pKu4uB0rZoeR9TjrtOjR4+i0q6zms5Fvn0U9bCqekVFBVo1AmBJ2E86
+6aSiCyxbtkzo2LY1oLTUtmVnKxU5n0HUgRJZ7927tzOC7oqkJ7GabkvU86U9//ckiLqtbarsoZ6/
+XCaTof/93/9tdVs6naZu3brhTA+AJSorK+nCCy+kAQMGFHSHZcuWaR1QKntuUp1Tl6miQ9T9I25e
+nYioQ5SVhg8fnvr2t78tvUfZmMmU8zYxgymPdXr06EGbN29mJd6uyLdKAY+7rV27drW5LZ1OU8eO
+HZWeA1BVB0CMYcOGBR9//DEdccQRdMQRR9Cxxx5LX/ziF6mlpYUymQwRETU2NlJdXR199NFH9P/+
+3/+TOieorLaLLoucOpClf//+9PTTT5uXdc6CrvMxucRdkiTppuT+C1/4gtbtI5+uV9QzmUybVo1E
+RN27d4eoA2BB0ouKR4cO1KHDJ/rxmc98ho499lj6xz/+QTt37rQyoFRG2mXkHcIOiOLn1bXJuq0d
+jrukQ9R5irrvsRffRZ0ovKpeVVWFqwQAzES9EPmiLiPPNnPqIveJnNcg6kCLrPfu3ftw1wWbO5nu
+rjGQdDvrcl0Hos5P1BsbG2mPFEqOAAAgAElEQVT79u2tbkun09SlSxel5wNU1QFQL+lERNu2bWM1
+oFS2Cwxy6kA3kbvBDB8+PGVqgGihA9SFSZJUtnR0TdS5DCJV9dxca+PoQscXFXn3TZs2tekAo2IQ
+PABAr6hv27ZNWtRtDyhFTh3IMGbMGCXb6eDSizbR2pHbtlBN5yH2OqXelWU5tGbM5+DBg7Rhw4ZW
+t2FQKQC8JT0r6qVkXFSw44i4zPaRUweyqMirOyPrLkm6qu3Z3oaP2XSIOo9tqtzWunXr2tyGqjoA
+fEU9K+kix7zJKIzM/SL3iZzbIOpAlFiTIv3617/WVm1ycSZTTpEX09EV7qKehNiLDlGXiaqYFvXG
+xsY2VfWysjJU1QHQLOmqRF1nb3VTEx8Vk3BU0ZPN8OHDlW2LXWXd1CRJHLdnM/JiWrZNSXqcqgeH
+5TGQNJxMJkObNm1qc3vPnj0h6gBoFPUo623fvj1y3EVEpnVLu4y8I/4CsjzwwAPKrh8sZN1FQeck
+6XG3gYmR+Im3Dln2RdSDIAjNqpeVlVH79u1xhQCAiaSLirqJAaUy29Q9oBSiDmRpF3cDUfOhJmIu
+uY/DcZsqthN3GzY6vfgQe9Ep6jq6uPgg6tn3pbm5mf7yl7+0ui+dTiuvqgMASY8eedm+fXublqqq
+RF1WvkvJtsj9pQRd9BwHUU8GQ4YM4SXrI0aMEC7zmxJ07pKu6oCNK+muxF5MSLfv+XSXWzPmL7N3
+794295eXlyuvqiMCA5Iu6lHXzZV0URmXEWnbOfUoVXaIerJ4+umnlV4/tMdgTO+cnOMuHCTdtGzb
+kHtXl0fHF7FlampqWv2uYwIkACDp8SRdRsZlpNbFiY8g6SAu7VRsJL8rjMkKev5jct0ml8iLz9V0
+buLtUpWca8eX/GXCWjX26NFD+fkEVXWQREnXKeqcOr/YmPgIwp4cVHaByaKssm5jR9Q5gym3bbk2
+gDSOqOteB73W3Vguf5mWlhZauXJlq9vS6TR17twZog5ADK688sogCAJKpeR3/R07dkgNIJU5F5ia
+rTRqDEZ0kiWIerJQ2QVGuaxD0vVsz8UZTBF7gajr2Nbbb7/d5raqqipcGQCIIemFjrtS4r5jxw6h
+Y1d35xfTA0pFpBw5daCadqo21KdPH+2Critaw3FyJNuRF8Re3JsUydZAUhOinslkjLRqRFUdJEXS
+80Vd5pqbK+qqcukmMuvF7tcVgwHJYsyYMVq2q/TC9K1vfUv53ql7BlOO20M13Z50m5B6V5a1nU/P
+ZdasWbRnz55Wtw0YMIA6deoEWQdAQtLjrL9z504lMi4j16YGlIpUx5FTB6XYs2ePlmsIyxiMid7r
+kHS762JSJJ7ybWu5Yss0NTW1EfWysjKIOgCGJH3Xrl1Kc+m2Or+U2jYGlAKutFO5sQkTJsS62Onu
+IMMx7qJiOy5OjARRh6iLLrN8+fI2t2ECJADEJD2OqO/atSuSqHPt/BJ3QKnuaypwG10RGCIGlXVT
+EyRx3B6HiZFMrmsqKpOEmExSBpw2Nja2qapXVFQgqw5ACUmPu41du3ZJi3mpYzrqJEhRz7eqB5Si
+mg6KUV1dre06olzWjzvuuDYXV1vfOrlKuoptJWFiJFTT3RR1VdvKZDK0YsWKVrel02kqLy/HVQEA
+zZKuQtRVdH5RGXMpJNY6YjAAqETLt4CwgaauCjokHaLus3xzFXUion379tGbb77Z6raKigrq3r27
+0uMbVXUASW8t6XHlO6qAR5FzDCgFHNA1sDSL1hiM6RlMfZZ0W6INSXdP6n1YLpPJ0DvvvNPqNlTV
+AVAv6bW1tULHadQBpMWWNZFZFxV5UVEXkX0AVNNOx0b79OljtJKuI+6iMpfOoec6RN1dUefeP110
+OdHXEQRBaFa9vLwcWXUAKP7A0aykZ0VdV7xFRKJ1irqOAaWi2XeQHIYMGaL9MbRdqO68805te68L
+M5hi9lJe0s1FvDksyzXHnl2mpaWF5s+f30rW0+k0VVVVQdZB4iU97jbyK+k2culRxLuUaMeVdhUx
+GJBMdEdgiDTGYEQGmvoo6RB1fusgy+6OqBN9kp3NP3f06NEDog4g6DHYvXu3UjHXLeqy5xEMKAU+
+o03W77nnnpSq6jok3T9Jh6iblWobAh51W9u3b2+zTOfOnXG2BpD0iJKuWszjiLWuWEwcUceAUhAV
+E1V1rbLOVdAh6fbX9aECr2vbSZ8Qadu2bW2q6gMGDFB+DkBVHfgs6LmSbkLUo1bY48i5TOcXkdtE
+RRyiDmzQTufG+/TpIy00Omcx5TyDqWuiznn2UtnnFmV5HdtOuqg3NzdTTU1Nq9vKysqoU6dOOFMD
+7yVdhajv2bOHdu/e7YSo2+z8EmVAqapJm4A/6JyxNB/t1SWRKIzuHd/XGUxRTVcj9rqWRz5dbrn1
+69fTypUrW902aNAg6tixo9LjF1V1wEXQVW0r+9cok2IeVcB1ibqNvuog2ZiKwBBprqwTfTLQtNDF
+WmcVPfcxuG1PRStGVNPjPzdO1XfVz8HFmUvzRb2srAyiDrxj6NChwdChQ5VcmPbs2RNZ1OOekzm2
+aCz1OBB1oAoT7RpzMXLhylbXTezknCdHStrESKim2xF6lds0JfPLly+njRs3troNVXXgk6AXvAin
+5HfJ/HEdImJuq5e6jmq7rr7qEHUgcQwavZYYGWB63HHHtcrQQdL9lnSIOm9R51Z1z2QybUQ9nU4r
+F3UAuAh6/rEhIux79+6NJN1xRV1mW6ZiMbL3Q9SB6xj7ZnDHHXdo2dM5S7qKbdla37dJkdDCkaeo
+E4Vn1QcMGKB8YCmq6oCLoBe8IIdIe1bSTYm5jgq7jsy6igmQRJeBqINcTFfViRi3bjQt6NwkPe42
+UE13V7xdEHpV22pubg7NqkPUQVIEPf94SaVSrQSdi6jLPJaJzHpcUQ/bBqrpoBRDhgyhp59+2vjj
+GpP13/zmN6m41XVIOj/ZNrmey73WMSFSOGFS0r17d1wRQGIEPUt9fX1kCTch6pxaNMr2VVcxUykA
+RET9+/f3W9a5CTok3Z6oY+ZSc6LOuep+8OBBWrRoUavbKioqkFUHiRH0XEmHqKPzC+BPdXW1lb/S
+GpX1U045hd5++21IekIl3QdRx8yl6papq6trc1+3bt2UH++IwABOck5E1NDQoPx4si3qIgKt4/+l
+boOoA1XYisAQGRxgmqVYFMalyZGSPDFSUts4ojOMumWam5vp5ZdfbnVf586dqV+/fhB14K2gFztW
+uFXQ44i7qoGmuls0FroPog7CsDGwNIvxGEx+dR2zl/ot6Ryl20Wp9yGfnrvM9u3b24g6EdGSJUta
+3a5a3gEwJef5gm5b1FVuL2oXmKjryNxfTN5LLQNJB4WwWVUnslBZJ/qkug5Jd0fSTQk3R7HnsG3f
+cuz5VfWsqIsgI++oqoN8rrjiijY7a5RJiYqxb9++SFKpUtRVyndUURcVchMtGovdB2EHItisqhNZ
+GmDap08f4ew6JN2uqKOa7sayLrVvXL9+vbSkZ8mvvMsKPEi2mOsiK+gy8sxF1KM8J1OiXmp7uvLq
+AOQyfPhweuCBB6w+B2vfFKqrq5UeFZB0Huui1zpEvdhyzc3N9Ne//lV5H/V8ef/oo49o9uzZqKxD
+zsUuhJLV9f3798eSclXSHUXMXZn0qJSUl5JtDCgFqrBdVbcq6w899FCwe/duVoIOSY+3Lkfp1inT
+tuWbi4DLLtPY2BhLlGQE7LXXXoOwQ8yVCHtW0ONKuW+irkPOZaRdhaBD1EEh/plVT66sE0WvrnOW
+dBXbSsKkSKimmxF67l1hiIj+9re/aRH1YkDiky3mIvvNe++9p1zKVUm3alGPKuMuiXqh+yDqoBgc
+qupElidF6tatG4lW1zF7Kc91IeoQ9bjLHHHEEa1+jyvvIpX6iy++OIDA8+OGG24I/v73v1t57Pff
+f1/psQFRL/4e6pypNOoyAOTCIat++Lpm+wmUqq5D0iHpvks9d6G3LfOy8qYjVgORV8fll18emP78
+wjhw4ICUUMaRZ5Xr2Zz0yEYv9VLLovML0AWXqjqR5co6UXh1HbOXQtRdFW/fhJ6DzP/rv/5rq/s/
++OAD46J3ySWXlHzyf/nLXyD0AjIusg/o+BwbGxuFJTqJoi7z2CZ7qaPzC7DBmDFjqLq6ms3zYXFx
+qa6uDlwRdEi6++v4OiGSK7EXHctkBV6H5OnYpotiH1fCTb7n+YOYZSQ6qaKuYtIjG9n0KIIOUQel
+4FRVZyPrjz/+eLBq1SpIukeSbkrUEXuBqBe6v7m5ma2s6+yAw1midTxWU1OTEmGGqOuReLRoBK7x
+z6w6ZD2MUaNGKTuCfJR0l0Q9aTOX+lR5d1HmZbZx6NAhr6Xad1nPDj5WLdoQdfuiLirjskKe2/EF
+og5E4FZVZyXrKoTd14mRUE3nu7wLlXefq+4qRf7DDz+ErDOQ9WykSUaobYq6KgmHqMcTdVmJByCM
+f2bV2cl6B9ffWJ8nRsLMpXzF26Z8cxZwUxKuQ+Q7duxY8P5MJoOrmEIOHjyoTMiTKuqyx0ecwaUQ
+dZAEhgwZwlLUiZhV1onEq+uQdPXro5ruxrJJz6frEHUV97e0tIiddDVWp01Uvks9Rv5YAZ1C7qKo
+RxHpuNtwYXZSnYIOUQcicIy/ZGnH7QmdeuqpRS+QOmYcU7VNFduJWxE3LdxRpBiiHv09US3gEHV1
+93fo0IE6dOhA7du3L/jTrl07SqVSRrPfcfnoo48O/3z44Yd06NChNj/Nzc2HfyDqakVd5HlzEXWR
+5wtRBxwZPnw46+fH8oqRX13nXEVXtS1MimT+MRB7USf9HCScs8jHuS/quqqfiwu3+yjqNiY5kpFz
+Hd1eVAk6RB2IwrmqTsSwsk70SXWdexVd1bbibiOObPtWTddZIU9iPh2iLie4OkS92HZL3QdRT7ao
+y2wLog6SzJgxY9g/R7bfJEaOHKn0SMPMpWoEX/c6SZgQCe0b/RJ5G9V2UzIOUXdX1FVU2E1NehRX
+0PO/vELUgQzcq+qsZV2VsEPS3ZB0E2Lv4yBSjgJuchnd2+AYi4Go+yXqqsU8KaIuugwAros6EdMY
+TJZu3bpFlksf4y4uRF4g6uZEXWVUxUdRF4mmQNQh6nG2CVGPNgA1zsBSiDpQxZAhQ5x5ruy/UchU
+1zEpkrp1kyjptuVbl9C7JvM+DySFqPsh6i5OguTqpEciYg5RB1FwpapOxLyyTkT0+OOPl3wzVQ9E
+5dLKEdV0s+Jts9UiRB2iDlEPhI81iLo/oh722BB1oBvurRrzcXYGU0yKxGNdVNN5yTdHAeck+xB1
+t0Td1LIQdf2iXupxIOrAFEOGDKEHHngg5dJzdubJZuMwkHT165taj5vYo8+6PxLuqohzkXGIOkSd
+w+ykUQQdog5kcSn+kqWdK08023tdtaS7HneJs77pXus6HwN91v2dtRSirlfUo/Rrh6hD1CHqwEVc
+6KkehlPfLh544IGgtrY2tlirlH2b2/Ax8hJV7HUtn7TYS5Ik3KbkR92u75EYiLpdcY+zjm5Rz78N
+og6i4GJV3TlZJyK6/fbbIx2hkPR46yZtQqQkCj3y6fZFPcnZdYg6H1GXFXpV1XX0UgcQ9XDaufaE
+jz/+eGmZ863fusnHjRp54RiTgahD1FWJOEQdog5RFxs4KivhYduDqIO4uNb9JR8nv2WIVNcxc6ka
+wde9Dqdqum35tiHgrkk4B1HXsS5EHaLuqqirnJ1URNAh6iAKLlfVnZX1QsLOcVIkSLo9sUc13S2Z
+h6jbE3VbAg9RtyvqceQ8ipTHFXSIOkiiqBM5GIPJ0q1bt1YHOrdJkTAhktrH4dQZRvVz4Bx7USXQ
+KiSaa7SFm4xzE3WZiY0g6hB1iDpQyZAhQ7x4HU5/2xgxYoTSozeplfSo66Kablb+Ocq8iWUwEZJ5
+6VYp6jq2YULeIep6YjAQdWASH6rqzsu6CmHnMiGSLVFPagtHtG+EqEPU9W4Log5Rh6gDiLoa2rn+
+AmS7w+SeKDhMiBR3G9wjL1GlG7EXvydD0h1rsSnqOrrFRIm3qBT1YlEWiHo00ZY9hmS2Z3oCJFGR
+h6gDU7je/SUfL751yFTXk15JNyXcJsXelWVVb5NjhMaHintS+6tzn8XUN1GPWgmPKuC6RF11Nl1V
+EQwkG5+q6t7Iuoiw+yLpcdb3qYUjZi1NZuwFoh5diiHqyRJ13XKuUtRL3QdAkkWdyIMYTJawOEz2
+27kPcZc46/s4IZKO56NzWRsC7mM+PW78BKLOR8gh6skW9bDnClEHcRkzZoyXr8sbWb///vtTWWH3
+cdZS01VxZNPVSDX6p/uRT4eoqxX1uPLto6jH2T4nUS8m4SKCDlEHURk+fDhVV1enfHxtKQ8/LCVH
+OiZE4rUOh1lLkzQZEjrC6F0Xoq5GtFULt01Rj1phtyXqpaQds5MC0/gYf/FW1uMKOyTdXUnXKfUQ
+dfMSzlnUTW/Xh0GmEHV+oi4j3xB1AFG3R7v/397d/dg5dg8cv6d/hUSp+ROaimScukkcoI2XeKl3
+O5KhlBQN2WmNaqvCgSPMTGfaXS1TpRFBHBAHHJAQEsR7YyoGf8T9O/g9M0/12bP3/bLWda11Xd/v
+ETN7dtvRtB9X1r2uFH9RmzdvboVIbi3VRTS3luoBHKg3R2zKUJe8yRSopwP1UT83aagP+3GAOmmU
+2prGYSX7fyJ79+6t/vjjD3F0WnwPTtM5TbfyXjlfhNT2fVMfiQnxgKj0x1KFusZFR3U/BtRJo7Is
+i8FgMJH6rzPpX+B64zDcWhrm67RhbwH11kHPfHp8qOcwux5yZSNQB+pEq6U+/pIF1s8HO0jPc886
+O9btI9wyxL1DXfu9gDpQB+oE1HXbkPovcPPmzcnsWvewwjGH2XQPAAfq6UFdcg69DaYlRlyAuj7U
+R/18msy1t1nLCNQpVDnMqZ9bFv9Xsnfv3urs2bOdgC0B/Rhfz2l6HHzHhHqoH88D5FNBfIq3kgJ1
+Hahr7k+XADpQp67lMqeeHdaLoijuu+++xn9CgHR/SNdEPWMvYaHOaTtQB+q+oQ7WSaOcxl+yw3oT
+sIN0n7DnMiQfCPcMdY33TWGHOlCvWv87UCcC6uPakNMvdm5ubmLcX7Ted61bhXqbWXPNE/KUxl6A
+ejPgap2KS86aj4MuUE8f6qN+HKtQH/ZzAuokVb/fz/bXviG3X/CFF16YJNJTeYBUE96a+JaGtSSu
+6+BW6jUh3qML1DW+lpEYoK4BdY1NL+PeqyvUmVMnrcqyLKanpydy/fVn+Qvfs2dPpwdOu0BU8uu5
+DImtMF5ew3719KDuFe9AvR3KgTrFLNfxl9U25PiLfvrppyc2btzYGdk5nKRbhLqn03QNgFtDuHeI
+e9+hDtSBegyoD/u5AHWSrizL7KFeFJmerK927733Bt8Q4+nBU07T9fGtgXlPr2EsxsbXAHWg3vVj
+QJ00AupgvRHYc0J6CHRrYjo2vmMA3BvCvUI8JKwl0a2JbGtQl/q6nKE+Dt9AnYB62Dbk/g2Yn58P
+siGmy9eGHnkJcTpuZdOLxul36HEWb1DvOjrTZXSlK5xDbXwB6r6hfv7vB6BO1KycN78Mi/9r+U/n
+n7DH3rUe+mstfg2XIdnCfM4XIbHxRR7fXqDe9D2kTt+BOuUM9Zw3vwxrA9+C/2/jxo1rpyEx1ziG
+/lqrX2PlND2Fh0hDPCTqAeJAHagD9WarGoE6hS73FY3rxTfknPbs2VMtLy93Qrqnr/X+AGkb1Eu/
+lodNfVyEpPG17FD3A/UQozCeoC79EClQJymoDwYDXDokTtbPqc1Kx66n8VyG1B7TKZ2mN0Gvt7WM
+lk/MQ2Ocy46qTigH6kCd0qzX6wH1EfGNGdI999wz9k+fXC5D0kZ3CNRLv5bT9O7Ijv15jZN4LkGS
++VhbPGtBve7oCFAnah+bX8C6KNi9PniaymVIGu/NjnVZJKcK9RxGYkLOrXvYq67570CdCKiDdWGw
+g/R4SNdEPTvW7WE/h/l0SXQDdaAO1Amog/Wsu/vuuzv/qQTS7b2e03Sb2NeCuAeMhwC85x3qQD0u
+1ME6AfV48YDpmBYWFlr/ZvLy8GgKUNd4MDQmwFOcT4950VFu4y1AHagDdbIclx6B9ehg94b0EDvT
+PW56kYZ1yN3pkghP+UFSNr4AdU2Ye4b6sJ8fUCeJer0eu9QbxjerQeNGYrixtPvXxJ5Nb/Jaxl7i
+zq9zW2meUA91AZLUCbpXqDOnThpxOylYjwL2XFY4pv4AqQa+JeHsDepdf4wctsGktprRCtRjwx2o
+Ew2PGfX2MQbTsNWRmC6jLl2/PteRF09QZ+yl/Y+Rynx6W0QDdaAO1Cm1mFEH68HbsmULSO+I4zaY
+TunG0tCYl0C4FNS1Pm/ptF0S3ZIgB+pAHahT6JhR7x7fvA7dddddtf8k48bScKiXfi0z7OnOp0sj
+OQS6tZHdFdraKAfqQJ38xIy6TJysd2hxcXHsb8AYJ+lt/6DVHmFpg+mUTtOboNfb2EvX0ZRYJ+ah
+trpIQn3UCTlQB+rjvid1PgfUSSJO1MG6mdYbiZGYaQ8F/FAjL95m0z2vbsxl7MXLfLqX1YxdR1eG
+4bTux4C6PNTbnKQDdZKo3+8XMzMzQF0ovpFCrY7ExNoOk+tFSLFBL/U6a6Mx1iHPbaXyUO/yWgsr
+HEPDHagTDa/X6wF14ThZF2rLli1RtsOEurHU4gOnGvjO4SFS7bEXCUxbuuhoHGa9bHzxCvU6J/FA
+HaiTjThRB+um27Fjx8Sll17qAukWR148zaanuju9K7LrYtnafLo0rNsgOgTIu4yzxIS6xmuAOlAn
++ZhR14tvqkJ33nnn2D/5rG94aYt0K6+PdcGRJJwtIVwK8hoQ74r4UJ+LubIx1GtTg7oG4oE6pQp1
+TtTBejJgD/3QaQqz7DmubmRtoz+oe5lPTxXq0nAH6kT14mZSsJ4M2L3sWec0PS7AvUGd0/Z0oR4C
+71YfLgXqREAdrGfUHXfc0fpPRJBuH/ReMZ/ybaUpXoKU4jgMUAfqBNQJrLsFe87rG7XeO4XVjYy9
+6CE+9ZN2jxcghYC7FOq10Q7UCaiDdTICdnas53ea7g3qXX8Mi6ftqTxIGhLqdd/T0mVHQB2oE1D3
+GqsbA3X06NGJiy++eN2/4HLese5tdaMkwEPuTg8xtqJ5Yp7ijaSSII/90Kj1W0m7jLoAdSKgDtYz
+ad++fROxkW5xx3rM1zbZmx7jIqQQrwkF+S5Qt4J4aXQ3hbcEyD3dSioF9bb/HnNefb2PAXWKUVmW
+QB2s59PRo0cnLrroIhdI1zodb/r63E7TQ0M9JuQ1sd32ZJzVjEDdKtSbfAyokyTUB4MBUI8Y3/xI
+vfTSS9UXX3zRCLdtkG7tazytbuRB0/S2weS6spHLjoA6EVAH69Sy22+/vcoB6ZqoT2HTiyWEW4Y4
+UNcDOVAH6kTnxtiLnRiDidx6/8ca6uHRtujWHpHRwLfl2XRLs+eWx148PEgK1NOHet2HToE6ea3X
+6/FNMBT/12Skp556qvr999+5CCky6EO/jrEXPWyH/pzUCbz3m0q9zKxbWtUI1MlSnKiDdRrR9u3b
+G/8py8iLT6RbQrhliHvAuDawJUDdFe+hT9214R4b6tJ4B+oE1NOOMRhDHTt2bGLTpk21oRdqX7q3
+kRcPALe0O93q2AtQTw/qdfaxA3WgTuHr9XpA3XD8hzHaeqfsocZkGHmJi/kQr/E69mId40BdD+Ga
+UA+B9i5Qr/s5ojZQn5mZwYNgnbqCPZVZdq33TmEjDJD387lYUM9pXWPXr9F6sBSoU0pxmg7WSaDb
+brut1Z/E3h845TQ9DsJjQtw71KXey/Mpu9bM+rj3CLUBBqgTUKcYMbNuvNdee632HPvqH97cVmoH
+4CERrj2/LvF5ra+Vxrjk6fh60AwJ9SY/nvbpucT8eUyo11nbeO5rgDoBdQLrGbR///6Jq6++OjrS
+m/4FwX717u8lgfC6UI/1+dCIb4NxKUhLgjzmakaPO9SloD7ua6RWNgJ10qjf7wN1sE5abd++fSjY
+LW+F0XhvTtObIzk2xLVOxUNiPLWNL3UB7nWH+jDsSm92aXOKDtQpZr1er5iengbqDuM/msOefPLJ
+6syZM62+NoetMMym6yM8BPItfC7WCXzIC5A8PFxqaQOM9iVIQJ2kK8ty3dvSCayTYseOHavee+89
+kA7U3UHeEuK931TqfeNLLlCv+3mgTtKxlhGsk4FuvfXWShLRHuGdAtKtIDwliIfEfe571YF6M6i3
+BTtRk/r9PmMvYJ0sgz2X1Y3sV5eDOmMv6V10ZBXqIS87AuqUYzxEmlY8YJpAx48fX1vvmNvqRsn3
+lHydx7WNmhD3fmoO1OW2wAB1oE56lWUJ1ME6We3AgQMTl112mSrSm/7lkfN+9VDgt7A73ctudUl0
+S4I81MaXECjvujO9DqgloF5nVzpQJ2/1ej0eJE00/qMm2C233JL9HHvqD5qmvlvdwueYT28G9aav
+SXVevS3UR/0cicbFaXracbKeYCdOnJi45JJLxNDNaXrYk3Lt0/QQEPeCcaAO1CVwDtQpVr1eD6hn
+EP+BE2/1lD31VYyxkN4E8yFe4/U0XQvjId6PB0ntwL0NxrtCvenn64CdqE4gPZ84WU+8EydOrD18
+Whee2g+bauA71i2kIU/TU9720vb0O4WbSoE6UAfqBNRpVPzHzqibb75ZdJad03Qbr4l9Ip/jTaVW
+UC/99V5GYbo8WNoW6m1e23QEhmhc7E4H65RBu3fvrs6cOWMS6dbxbQ3p2ghPCfFeAB8K75qn7tpw
+j3G6HuKhUqJRlWXJppeMYwwmsw4ePLj28Km1kReg3gy6KY69dIG1l/3pIaHedg3jeqCNsUNd++HR
+Ng+NAnUKWb/fB+qZx3/8jNu9e3f122+/iaK7DdKlX2sV6RJADvEe3FSa93y6FsLbQF3itRb3qQN1
+qhuz6VQUnKxn3cGDB1+brVkAAAqSSURBVMf+IWDh5D3Wqbv0LaRWZs81R1e8r2VscsHQKJB6fpBU
+67R83Ik2UCf6b9xESufGbwQqBoNB9e6777ZGehvUa4A+BuYl3svD/Lq1r83tAqQQeE9p4wtQJ89I
+Z+SFwDqt2xNPPFFrLMYS0q0C3AvCYyI/5wdMY+Ld6+VH1m4lHYdxoE5N6/V6xczMDC4jsE7ju+mm
+m8b+rZLr2saQ72Ud8l4QHwvwIU/ZU7+lFKhT6jHyQqNiZp3+p6WlpYnJycl1QeHlEiSN10m8l9Qm
+F22IW9r2Mg65kqfgMebTLaG86fy5BszbYjzUuAtQJ6n6/T5Qp7HxG4RGdu4pO6fp+u+V8m51LkBi
+40sTfDeFetPXad1KCtSpbiCd6sbJOo1saWlp4pprrlHb3MJpejMkx4a4F4xbA3mXvegaKLeymrEJ
+uLvsTQfqZKlerwfUqVH8ZqHaPf744yMfQI15Qi79npymy4BaC+Mxv4YHSW3Oq0vfSgrUSTo2vRBY
+p2DdeOONlTaoNUCf4qaX1CAeEve5j72kCvWQaxrHwZ9otX6/X0xPT2MuahVjMNS4kydPTkxOTiY5
+8hIS4SEuONIaa7GGcaAuD3XtB0mHITfkw6OjID4M40Cd2rQ68gLUqUv85qFOvfjii9Vnn33WGbdN
+/6JL+TS9K5S1P8/Yix7IY6Bc6jVcdkT075hLJ7BOprrhhhsqb/iWxLUEkC28R45jL5rIjgl1yRN2
+SbhbHYMB6iQRc+kE1skF2rmpNA7Uc5ldT3FuvQvotUdhLEA9xsVHQJ2axg2kBNbJTY899lj166+/
+mkR6aMynAPnQiE/hAVMeJLU9BsOtpCQdIy8E1sll119/fWUF3zEw7/00XQvxqZy053z5kYfVjECd
+tGPkhcA6JYd2HiD1A/ncxl4kkG0N6h7gHgLqWiMwlHecpFPIWN1I6p06dWri8ssvr41qr+sYJRGu
+vZKxC6gtrF6UhHqTW0Ol8G3xRtIQMJdYzdhm9SJQJ6m4fZRixG84CtquXbuGzrMz8lIf8lqf97RX
+PQTgu4C8C75DfCw03C1efMStpNQkRl4IrFN2vfDCC9Wnn37KNphA7+Fpdt0i4HOeT5eEeUyoS8yq
+E0gnAuuUXbt27ap++eWXYLAOCfBQkM/hIVIpdGt/nAdJ01rNCNTzjnEXAutE57Rt2zb1S5VyO03v
+AmMPGPcKcgsojwlzL1AH6SCdCKwTjUA7p+n5zK7ntK4xxxN2q6sZgTqdX7/fL6anp3ERgXWiOm3d
+urUKhXRLCE8N4iFxz9hLfLjHHoMB6tQmTtLJeqxuJJOdPn16Ytu2bZ3AK/U6ydd0eQ9vKxm7YByo
+h0G41irGJq9t+7rzX1/38+t9DKjnVVmWxZ9//jkB1MlD/CYlF23durXyug3G88pGjfflllJdlDdF
+t/a/W1zNCNTzDqATWCdS6siRI9Vbb71lAuAeEB4L8bHHXjSRLY3vGJhPdeNLG5QDdZBOBNaJlLru
+uusqqwD3APlU96rn/HApG1/Gfx6og3QisE4UuEcffbT6+eefQbhRiHsDvAe8e36QNBTU23yMQDoR
+WCdS7Nprr62sI90yxD1A3frYSwyUt0F3LKhb2vgC1NONG0cJrBMZb/Wk3dvKxtQQn8K6RsZe7O1T
+ZzUjgXQC60QJof2nn36KjvQUIR4S40A9Xaiz8YVAOhFYJyoWFxerU6dONcK1BKBjI90a4mN+jWe8
+W4S7FbQDdZAO0gmsEyWG9q+//roYddoeCuGWoc4tpe2hrY1yoA7UAXpZTE1NFdPT09iFwDpRyj3y
+yCP/MyLD7DpjL9J4937CbmmfOqsZic0uBNaJMuz555+vPvnkE07TW75vyltgLOHd63y6JtRZzZhH
+vV6vmJmZwSkE1vkWUO4tLi5WJ0+edInwWIjn8iMbKLcK9dgbX4C67zhFJwLrROu2c+fOtRGZlE/T
+rWPcI8glwW0B6t62v3Ca7jseGCUC60SNWlhYWPe0PTbCY0A8JO6tb4FhPp0HSUmufr/PA6NEYJ2o
+Wzt37qx+/PHH2hhgr3o4wKf2cKnG6XkXmNfFNVCnJnGKTgTWiVQ6dOhQ9fHHH5tEemiIewO8Nbyn
+NJ+uifNxKAfqvuKBUSKwThSshYWFamlpqTYUOE1Pa6d66htgQp+us/EFoBMRWCdS6dChQ9VHH30U
+BekxEJ/C5Ufex15iwbwr2qUfJAXqtmOjCxFYJzLXww8/XBVFUfzwww8uIR4S49ZBHgPlbdAtCXMJ
+qIfa+ALUbcbDokRgnchNDz30ULWKdkloW0N8zmMvmihvA3et03YeJKVRMeZCBNaJ3Pfcc8+tjcpw
+mp732ItFqMc8XQfqPivLspiamuIUnQisE6XV4cOHq9dffz0oxK1j3ArIraI8JMzrQlsa5+NQDtTt
+AJ11i0RgnSirrrrqqsoaxEPi3vLYizbK26A7FMwl0a6xBYbCxoOiRGCdiIqiuPLKKyspNFvBuCWQ
+d8V7SmMvElDnQdJ04wSdCKwT0Zh27NhRff/99yYgbhHjXvBuEe7W5tOBup04QScC60TUosOHD1fH
+jx+vBRdO0+Pg3eoJu6XtLzxIai+2uBCBdSJSgPuXX35ZnH/qnssWGK83lIaYV7d2ui41AkMAnYjA
+OpHL5ufnq3/++af48MMPk8F4DNQzn94M401AXhfnQF03xluIwDoRGejBBx+svvvuOxWkWwS8xxtK
+c5pPB+rx4vScCKwTkYMeeOCBNbyHhrrUe3kde2mDbE2Yh4Y6D5KCcyIC60TUoAMHDlRnz54tvv32
+WxFYSwKesZe0TteZTwfoRATWiahj8/Pz1WAwMHmargVyCyi3CnXpi46Aunz9fr+Ynp7m72sisE5E
+ObZ///61k3dO03UwH3NNI/PpvirLspiamgLnRATWiWh9vH/wwQdjYQzU48Dd6u2kQL19nJwTEVgn
+otbNzc1VR44ciY70rtAOjfI2cPc+nz7s8zxI+u+YNycisE5E6j377LPV+++/HxXkXfGe0oOlIW4n
+ZT4dnBMRWCcip83NzVULCwtRQW4V5bFg3hTjXf4ZqINzIgLrROSs2dnZ6u+//y6Wl5eLb775pjGy
+u0JbG+XSCA+FeObTZSrLshgMBvwdSkRgnYjS6v77769W8R4K39IfYz59+OdTnU/nAVAiAutElHWz
+s7PV/Py8ObxbH3upi24tnKcIdWBORGCdiKhB+/btq955551W+G6LaymEh4J5U4x3+Wfv8+m9Xq+Y
+nZ0F5UQE1omINHvmmWeq5eXl4quvvkp+7KUuqEPj3CrUy7IsiqLgciEiAutERBZ79dVXq7/++qso
+iqI4ffq0KspDwjwE1LviPSTIp6amipWVFbavEBFYJyJKEfQrKyvF22+/LYZwCzAPjXMtqHM6TkQE
+1omIxvbKK69Un3/+ebFp06bizTffFEG5FuI9zKevnogXRQHCiYjAOhFRWNgXRVGsrKz86+NvvPGG
+OMxD43z1n6+44opicnJy7WMXXHAB6CYiIiIiGtXLL79c8V0gIiIiIiIiIiIiIiIiIiIiIiIiIiIi
+IiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiIiLq3P8BQE5Q4D6udOkA
+AAAASUVORK5CYII=
+"
+ id="image10"
+ x="-961.16498"
+ y="437.56519" />
+ </g>
+</svg>
diff --git a/static/images/logo-nlnet.svg b/static/images/logo-nlnet.svg
new file mode 100644
index 00000000..f323269b
--- /dev/null
+++ b/static/images/logo-nlnet.svg
@@ -0,0 +1,152 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created using Karbon14, part of koffice: http://www.koffice.org/karbon -->
+
+<svg
+ width="700"
+ height="700"
+ version="1.1"
+ id="svg53"
+ sodipodi:docname="logo-nlnet.svg"
+ inkscape:version="1.1.2 (0a00cf5339, 2022-02-04)"
+ xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+ xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+ xmlns="http://www.w3.org/2000/svg"
+ xmlns:svg="http://www.w3.org/2000/svg">
+ <sodipodi:namedview
+ id="namedview55"
+ pagecolor="#ffffff"
+ bordercolor="#666666"
+ borderopacity="1.0"
+ inkscape:pageshadow="2"
+ inkscape:pageopacity="0.0"
+ inkscape:pagecheckerboard="0"
+ showgrid="false"
+ fit-margin-top="0"
+ fit-margin-left="0"
+ fit-margin-right="0"
+ fit-margin-bottom="0"
+ inkscape:zoom="0.34864217"
+ inkscape:cx="-77.4433"
+ inkscape:cy="326.98282"
+ inkscape:window-width="2130"
+ inkscape:window-height="1169"
+ inkscape:window-x="0"
+ inkscape:window-y="0"
+ inkscape:window-maximized="1"
+ inkscape:current-layer="svg53" />
+ <defs
+ id="defs2" />
+ <g
+ id="Layer"
+ transform="translate(1155.984,177.90378)" />
+ <rect
+ style="fill:#f6f7f8;fill-opacity:1;stroke:none;stroke-width:2"
+ id="rect907"
+ width="700"
+ height="700"
+ x="0"
+ y="0"
+ rx="2.6666701"
+ ry="2.6666701" />
+ <g
+ id="g51"
+ transform="matrix(1.5970161,0,0,1.5970161,129.78942,99.63517)">
+ <path
+ fill="#98bf00"
+ d="m 272.637,235.84 2.496,-13.645 h -12.895 l 3.75,-20.09 -15.445,6.047 -2.496,14.043 h -9.399 l -2.5,13.645 h 9.247 l -3.149,17.293 c -0.148,0.949 -0.398,2.351 -0.648,4.148 -0.25,1.801 -0.399,3.399 -0.399,4.75 0.047,6.246 1.547,11.395 4.496,15.442 2.95,4.148 7.746,6.597 14.446,7.347 l 6.445,-13.293 c -3.445,-0.449 -6.047,-1.8 -7.895,-4.097 -1.851,-2.352 -2.75,-5.301 -2.75,-8.899 0,-0.699 0.102,-1.699 0.25,-3.047 0.153,-1.351 0.301,-2.449 0.45,-3.3 l 3,-16.344 z"
+ id="path5" />
+ <path
+ fill="#98bf00"
+ d="m 213.707,220.746 c -2.598,-1.051 -5.445,-1.598 -8.496,-1.598 -4.445,0 -8.594,1 -12.344,2.95 -3.797,1.949 -7.047,4.644 -9.695,8.093 -2.75,3.399 -4.848,7.348 -6.399,11.848 -1.55,4.598 -2.3,9.496 -2.3,14.645 0,4.496 0.601,8.496 1.75,11.992 1.152,3.5 2.8,6.449 4.898,8.797 2.051,2.398 4.598,4.199 7.547,5.449 2.949,1.25 6.25,1.898 9.848,1.898 4.347,0 8.644,-0.801 12.945,-2.398 4.348,-1.598 8.144,-4.098 11.394,-7.445 l -5.398,-12.496 c -2.5,2.796 -5.246,4.898 -8.297,6.347 -3.047,1.449 -6.098,2.149 -9.098,2.149 -3.496,0 -6.246,-1.102 -8.246,-3.301 -1.949,-2.246 -2.949,-5.047 -2.949,-8.344 v -0.949 c 0,-0.402 0.051,-0.801 0.153,-1.199 2.648,-0.352 5.648,-0.801 8.996,-1.403 3.347,-0.597 6.746,-1.297 10.195,-2.097 3.449,-0.852 6.797,-1.801 10.098,-2.801 3.246,-0.996 6.144,-2.047 8.593,-3.098 v -5.746 c 0,-3.551 -0.547,-6.75 -1.648,-9.598 -1.149,-2.75 -2.649,-5.148 -4.598,-7.195 -1.949,-2 -4.297,-3.5 -6.949,-4.5 z m -15.293,11.195 c 1.551,-0.847 3.301,-1.25 5.25,-1.25 2.648,0 4.848,0.852 6.598,2.602 1.746,1.699 2.648,4.246 2.648,7.695 -1.449,0.45 -3.148,1 -5.148,1.551 -2.051,0.5 -4.098,1 -6.2,1.449 -2.148,0.45 -4.195,0.899 -6.246,1.297 -2.05,0.352 -3.796,0.653 -5.296,0.903 0.25,-2.102 0.699,-4.098 1.398,-5.95 0.75,-1.847 1.699,-3.5 2.848,-4.898 1.25,-1.399 2.601,-2.547 4.148,-3.399 z"
+ fill-rule="evenodd"
+ id="path7" />
+ <path
+ fill="#000000"
+ d="m 228.004,296.418 c -0.699,-0.703 -1.5,-1.25 -2.449,-1.602 -0.95,-0.347 -2,-0.55 -3.098,-0.55 -1.449,0 -2.801,0.3 -4.051,0.851 -1.25,0.551 -2.347,1.348 -3.297,2.348 -0.949,1 -1.699,2.148 -2.25,3.5 -0.55,1.347 -0.851,2.797 -0.851,4.398 0,1.25 0.203,2.348 0.601,3.399 0.352,1 0.899,1.847 1.598,2.547 0.652,0.75 1.5,1.3 2.449,1.699 0.949,0.351 2,0.551 3.149,0.551 1.402,0 2.75,-0.301 4,-0.848 1.25,-0.551 2.347,-1.352 3.297,-2.352 0.953,-0.949 1.703,-2.148 2.25,-3.496 0.55,-1.351 0.851,-2.851 0.851,-4.449 0,-1.25 -0.199,-2.398 -0.601,-3.398 -0.399,-1 -0.95,-1.852 -1.598,-2.598 z m -1.649,6.195 c 0,0.949 -0.152,1.899 -0.453,2.848 -0.25,0.902 -0.648,1.699 -1.199,2.402 -0.547,0.699 -1.199,1.246 -1.949,1.699 -0.75,0.399 -1.598,0.598 -2.496,0.598 -1.449,0 -2.5,-0.449 -3.25,-1.301 -0.75,-0.898 -1.149,-2.097 -1.149,-3.648 0,-0.949 0.149,-1.899 0.45,-2.848 0.3,-0.898 0.699,-1.699 1.199,-2.398 0.551,-0.699 1.199,-1.25 1.949,-1.699 0.75,-0.45 1.551,-0.649 2.5,-0.649 1.449,0 2.496,0.449 3.246,1.348 0.75,0.851 1.152,2.097 1.152,3.648 z"
+ fill-rule="evenodd"
+ id="path9" />
+ <path
+ fill="#000000"
+ d="m 260.59,294.516 h -3.547 l -1.902,11.046 c -0.047,0.149 -0.098,0.399 -0.098,0.75 0,0.348 0,0.598 0,0.848 h -0.152 l -6.395,-12.644 h -3.351 l -3.348,18.742 h 3.598 l 2,-11.293 c 0.05,-0.153 0.05,-0.403 0.05,-0.653 0,-0.25 0,-0.546 0,-0.847 h 0.149 l 6.551,12.945 3.195,-0.301 z"
+ id="path11" />
+ <path
+ fill="#000000"
+ d="m 200.414,294.516 h -3.648 l -3.348,18.742 h 3.699 z"
+ id="path13" />
+ <path
+ fill="#000000"
+ d="m 14.9883,238.438 c -0.1016,1.152 -0.25,2.05 -0.3985,2.699 L 6.64062,283.473 H 21.0352 l 8.4492,-45.684 c 2.0468,-1.547 4.2461,-2.699 6.5937,-3.496 2.4024,-0.852 4.5,-1.301 6.25,-1.301 1.8985,0 3.4961,0.551 4.7969,1.649 1.25,1.05 1.8516,2.597 1.8516,4.75 0,0.597 -0.1016,1.496 -0.3008,2.746 -0.1992,1.152 -0.3516,2.199 -0.5,3.101 l -3.5977,16.891 c -0.25,1.301 -0.5,2.648 -0.75,4.051 -0.25,1.449 -0.3515,2.699 -0.3515,3.746 0,4.051 1.1015,7.449 3.3476,10.148 2.1524,2.699 6,4.297 11.5469,4.746 l 6.3477,-12.843 c -2.1485,-0.352 -3.6993,-1.149 -4.6485,-2.399 -1,-1.199 -1.5,-2.898 -1.5,-5.051 0,-0.5 0.0508,-1.097 0.1524,-1.746 0.0468,-0.652 0.1484,-1.199 0.1992,-1.601 l 3.6484,-17.192 c 0.3008,-1.449 0.5469,-2.898 0.75,-4.347 0.1992,-1.403 0.2969,-2.801 0.2969,-4.149 0,-4.949 -1.4492,-8.949 -4.3477,-11.898 -2.9492,-2.946 -7.0468,-4.446 -12.2929,-4.446 -3.0508,0 -5.9493,0.5 -8.7969,1.5 -2.8008,0.95 -6.1992,2.547 -10.1485,4.895 -0.1484,-0.949 -0.496,-2.098 -0.996,-3.399 -0.5508,-1.25 -1.1524,-2.347 -1.8008,-3.347 l -13.3946,5.75 c 1.1485,1.746 2.0508,3.597 2.5977,5.496 0.5,1.898 0.75,3.699 0.75,5.348 0,0.851 -0.0508,1.898 -0.1992,3.047 z"
+ id="path15" />
+ <path
+ fill="#000000"
+ d="m 6.19141,302.715 0.85156,-4.801 h 6.64453 l 0.6016,-3.398 H 3.99219 L 0.644531,313.258 H 4.34375 l 1.25,-7.145 h 6.74605 l 0.5977,-3.398 z"
+ id="path17" />
+ <path
+ fill="#98bf00"
+ d="m 127.691,222.144 c -0.546,-1.25 -1.148,-2.347 -1.796,-3.347 l -13.395,5.75 c 1.148,1.746 2.047,3.597 2.598,5.496 0.5,1.898 0.75,3.699 0.75,5.348 0,0.851 -0.051,1.898 -0.2,3.047 -0.101,1.152 -0.25,2.05 -0.398,2.699 l -7.949,42.336 h 14.394 l 8.446,-45.684 c 2.05,-1.547 4.25,-2.699 6.597,-3.496 2.403,-0.852 4.5,-1.301 6.25,-1.301 1.899,0 3.496,0.551 4.797,1.649 1.25,1.05 1.848,2.597 1.848,4.75 0,0.597 -0.098,1.496 -0.297,2.746 -0.203,1.152 -0.352,2.199 -0.5,3.101 l -3.602,16.891 c -0.246,1.301 -0.496,2.648 -0.746,4.051 -0.25,1.449 -0.351,2.699 -0.351,3.746 0,4.051 1.097,7.449 3.347,10.148 2.149,2.699 6,4.297 11.547,4.746 l 6.348,-12.843 c -2.149,-0.352 -3.699,-1.149 -4.649,-2.399 -1,-1.199 -1.5,-2.898 -1.5,-5.051 0,-0.5 0.051,-1.097 0.149,-1.746 0.051,-0.652 0.152,-1.199 0.203,-1.601 l 3.648,-17.192 c 0.297,-1.449 0.547,-2.898 0.75,-4.347 0.2,-1.403 0.297,-2.801 0.297,-4.149 0,-4.949 -1.449,-8.949 -4.347,-11.898 -2.95,-2.946 -7.047,-4.446 -12.293,-4.446 -3.051,0 -5.949,0.5 -8.797,1.5 -2.801,0.95 -6.199,2.547 -10.149,4.895 -0.148,-0.949 -0.5,-2.098 -1,-3.399 z"
+ id="path19" />
+ <path
+ fill="#000000"
+ d="M 102.852,193.508 H 88.707 l -10.793,58.476 c -0.4492,1.95 -0.8007,3.997 -1.0507,6.149 -0.25,2.098 -0.3984,4.047 -0.3984,5.848 0,5.796 1.0976,10.542 3.3476,14.242 2.25,3.699 6.0469,5.898 11.3945,6.597 l 6.5,-13.293 c -1.0507,-0.5 -1.9492,-1.05 -2.8008,-1.652 -0.8476,-0.547 -1.5507,-1.297 -2.0976,-2.148 -0.6016,-0.848 -1.0508,-1.848 -1.3008,-3.047 -0.25,-1.199 -0.3984,-2.699 -0.3984,-4.449 0,-0.848 0.0468,-1.801 0.1484,-2.797 0.1485,-1.051 0.3008,-2.102 0.4492,-3.2 z"
+ id="path21" />
+ <path
+ fill="#000000"
+ d="m 72.4648,294.516 h -3.6484 l -2.3984,13.695 c -0.5,0.551 -1.1016,1 -1.8477,1.398 -0.75,0.352 -1.5508,0.551 -2.4492,0.551 -0.9023,0 -1.6016,-0.199 -2.1016,-0.598 -0.5507,-0.453 -0.8007,-1.152 -0.8007,-2.199 0,-0.203 0.0507,-0.5 0.1015,-0.902 0.0508,-0.348 0.0508,-0.699 0.1016,-1 l 1.9961,-10.945 h -3.6953 l -1.9493,10.898 c -0.0507,0.449 -0.1015,0.898 -0.1523,1.348 -0.0508,0.449 -0.0508,0.8 -0.0508,1.148 0,1 0.1524,1.852 0.4531,2.602 0.2969,0.75 0.6993,1.297 1.1993,1.75 0.5468,0.449 1.1484,0.797 1.7968,0.996 0.6993,0.203 1.4024,0.301 2.1485,0.301 1.8515,0 3.5,-0.551 5,-1.7 0,0.25 0,0.551 0.0508,0.852 0,0.25 0,0.5 0.0507,0.75 l 3.6485,-0.25 c -0.0508,-0.25 -0.0508,-0.5 -0.0508,-0.801 -0.0508,-0.25 -0.0508,-0.551 -0.0508,-0.898 0,-0.602 0.0508,-1.25 0.1016,-1.903 0.0468,-0.699 0.0976,-1.347 0.25,-1.949 z"
+ id="path23" />
+ <path
+ fill="#000000"
+ d="m 102.652,294.516 h -3.5465 l -1.8985,11.046 c -0.0508,0.149 -0.1015,0.399 -0.1015,0.75 0,0.348 0,0.598 0,0.848 H 96.957 l -6.3984,-12.644 h -3.3477 l -3.3515,18.742 h 3.6015 l 1.9961,-11.293 c 0.0508,-0.153 0.0508,-0.403 0.0508,-0.653 0,-0.25 0,-0.546 0,-0.847 h 0.1524 l 6.5468,12.945 3.1993,-0.301 z"
+ id="path25" />
+ <path
+ fill="#000000"
+ d="m 38.3789,294.816 c -0.9492,-0.347 -2,-0.55 -3.0977,-0.55 -1.4492,0 -2.8007,0.3 -4.0507,0.851 -1.25,0.551 -2.3477,1.348 -3.2969,2.348 -0.9492,1 -1.6992,2.148 -2.25,3.5 -0.5508,1.347 -0.8477,2.797 -0.8477,4.398 0,1.25 0.1993,2.348 0.5977,3.399 0.3516,1 0.8984,1.847 1.6016,2.547 0.6484,0.75 1.496,1.3 2.4492,1.699 0.9492,0.351 1.9961,0.551 3.1484,0.551 1.3984,0 2.7461,-0.301 3.9961,-0.848 1.25,-0.551 2.3516,-1.352 3.3008,-2.352 0.9492,-0.949 1.6992,-2.148 2.2461,-3.496 0.5508,-1.351 0.8515,-2.851 0.8515,-4.449 0,-1.25 -0.1992,-2.398 -0.6015,-3.398 -0.3985,-1 -0.9492,-1.852 -1.5977,-2.598 -0.6992,-0.703 -1.5,-1.25 -2.4492,-1.602 z m -8.0469,5.149 c 0.5508,-0.699 1.1992,-1.25 1.9492,-1.699 0.75,-0.45 1.5508,-0.649 2.5,-0.649 1.4493,0 2.5,0.449 3.2461,1.348 0.75,0.851 1.1524,2.097 1.1524,3.648 0,0.949 -0.1524,1.899 -0.4492,2.848 -0.25,0.902 -0.6524,1.699 -1.1993,2.402 -0.5507,0.699 -1.2031,1.246 -1.9492,1.699 -0.75,0.399 -1.6015,0.598 -2.5,0.598 -1.4492,0 -2.5,-0.449 -3.25,-1.301 -0.75,-0.898 -1.1484,-2.097 -1.1484,-3.648 0,-0.949 0.1484,-1.899 0.4492,-2.848 0.3008,-0.898 0.6992,-1.699 1.1992,-2.398 z"
+ fill-rule="evenodd"
+ id="path27" />
+ <path
+ fill="#000000"
+ d="m 147.285,308.062 h 6.496 c 0.051,0.45 0.051,0.899 0.102,1.399 l 0.148,1.449 c 0.051,0.449 0.102,0.899 0.153,1.352 0.05,0.449 0.05,0.797 0.05,1.148 l 3.696,-0.301 c 0,-0.699 -0.047,-1.5 -0.149,-2.449 -0.051,-0.949 -0.199,-1.949 -0.351,-3 -0.149,-1.047 -0.297,-2.199 -0.5,-3.348 -0.149,-1.148 -0.348,-2.296 -0.598,-3.449 -0.199,-1.148 -0.398,-2.246 -0.648,-3.347 -0.25,-1.051 -0.454,-2.051 -0.704,-3 h -4.246 c -0.8,1.351 -1.648,2.898 -2.597,4.55 -0.953,1.649 -1.852,3.348 -2.75,5.047 -0.899,1.699 -1.699,3.348 -2.449,4.949 -0.75,1.598 -1.352,2.997 -1.801,4.196 h 3.851 c 0.149,-0.348 0.297,-0.797 0.496,-1.246 0.204,-0.453 0.403,-0.953 0.653,-1.403 0.199,-0.449 0.398,-0.898 0.597,-1.347 0.204,-0.45 0.403,-0.852 0.551,-1.2 z m 5.047,-9.496 c 0.102,0.399 0.203,0.899 0.301,1.5 0.101,0.547 0.199,1.149 0.301,1.797 0.097,0.602 0.199,1.199 0.25,1.75 0.097,0.551 0.148,0.949 0.199,1.301 h -4.649 c 0.25,-0.449 0.5,-0.949 0.75,-1.5 0.301,-0.551 0.602,-1.148 0.899,-1.75 0.351,-0.602 0.652,-1.148 0.949,-1.699 0.301,-0.551 0.551,-1.051 0.801,-1.399 z"
+ fill-rule="evenodd"
+ id="path29" />
+ <path
+ fill="#000000"
+ d="m 184.219,294.516 h -12.793 l -0.551,3.398 h 4.551 l -2.653,15.344 h 3.649 l 2.699,-15.344 h 4.551 z"
+ id="path31" />
+ <path
+ fill="#000000"
+ d="m 123.496,294.266 c -1.051,0 -2.101,0.05 -3.148,0.152 -1.051,0.148 -2.051,0.301 -3,0.547 l -3.149,17.844 c 0.949,0.25 2.047,0.402 3.25,0.55 1.149,0.149 2.246,0.2 3.297,0.2 1.551,0 2.949,-0.25 4.297,-0.75 1.352,-0.547 2.551,-1.25 3.551,-2.2 1,-0.949 1.797,-2.097 2.398,-3.449 0.551,-1.348 0.848,-2.898 0.848,-4.598 0,-1.347 -0.199,-2.496 -0.598,-3.546 -0.449,-1.051 -1,-1.899 -1.75,-2.598 -0.75,-0.703 -1.648,-1.25 -2.648,-1.602 -1.051,-0.347 -2.149,-0.55 -3.348,-0.55 z m -1.851,3.449 c 0.449,-0.051 0.8,-0.051 1.05,-0.051 0.75,0 1.45,0.102 2.098,0.25 0.652,0.152 1.199,0.453 1.652,0.852 0.5,0.398 0.899,0.898 1.149,1.55 0.25,0.598 0.398,1.348 0.398,2.297 0,1.199 -0.148,2.25 -0.5,3.199 -0.297,0.95 -0.75,1.75 -1.347,2.399 -0.602,0.648 -1.301,1.101 -2.149,1.449 -0.851,0.352 -1.75,0.5 -2.801,0.5 -0.449,0 -0.898,0 -1.449,-0.051 -0.551,-0.047 -1.051,-0.097 -1.398,-0.148 l 2.148,-12.094 c 0.301,-0.051 0.699,-0.101 1.149,-0.152 z"
+ fill-rule="evenodd"
+ id="path33" />
+ <path
+ fill="#98bf00"
+ d="m 193.918,56.812 c -0.902,-0.148 -1.801,-0.3 -2.699,-0.398 -0.903,-0.152 -1.801,-0.25 -2.699,-0.301 -5.047,-0.351 -9.899,0.149 -14.446,1.449 -5.347,1.5 -10.445,4.098 -15.195,7.797 -4.746,3.7 -8.445,7.946 -11.195,12.793 -2.348,4.149 -3.996,8.7 -4.848,13.645 4.699,0.801 9.098,2.199 13.144,4.199 0.25,-1.949 0.704,-3.797 1.25,-5.547 1.75,-5.648 5.149,-10.445 10.098,-14.293 4.949,-3.851 10.395,-6 16.344,-6.398 1.898,-0.153 3.848,-0.051 5.898,0.199 0.047,0 0.098,0 0.149,0 8.144,1.051 14.793,4.848 19.89,11.395 5.098,6.546 7.149,13.894 6.149,22.14 -1,8.246 -4.797,14.946 -11.344,20.094 -6.551,5.098 -13.894,7.148 -22.043,6.148 0.949,4.446 1.199,9.043 0.852,13.895 10.945,0.699 20.789,-2.402 29.586,-9.246 9.546,-7.449 15.043,-17.195 16.543,-29.141 1.449,-12.043 -1.547,-22.789 -8.997,-32.336 -6.847,-8.847 -15.691,-14.195 -26.437,-16.094 z"
+ id="path35" />
+ <path
+ fill="#98bf00"
+ d="m 185.27,50.613 c 0.05,-0.5 0.152,-1 0.199,-1.449 1.449,-11.996 -1.496,-22.738 -8.946,-32.285 C 169.078,7.332 159.332,1.836 147.336,0.336 135.34,-1.113 124.594,1.883 115.047,9.332 106.25,16.18 100.902,25.023 98.9531,35.77 c -0.1484,0.902 -0.2969,1.8 -0.3984,2.75 -0.1485,0.847 -0.1992,1.75 -0.25,2.648 -0.3477,5.047 0.1015,9.848 1.3984,14.445 1.4999,5.348 4.1019,10.446 7.7969,15.192 3.75,4.75 8,8.5 12.848,11.246 4.148,2.351 8.695,3.949 13.644,4.847 0.848,0.153 1.746,0.25 2.649,0.403 0.5,0.051 1,0.097 1.5,0.148 1.199,-4.898 3.097,-9.394 5.644,-13.492 -1.699,0.047 -3.445,0 -5.195,-0.203 -0.102,0 -0.199,0 -0.25,0 -2,-0.25 -3.899,-0.699 -5.75,-1.25 -5.645,-1.797 -10.445,-5.195 -14.293,-10.145 -3.899,-4.949 -5.996,-10.394 -6.348,-16.293 -0.148,-1.898 -0.051,-3.847 0.199,-5.847 0,-0.102 0,-0.199 0,-0.25 1.051,-8.149 4.848,-14.793 11.348,-19.844 6.547,-5.145 13.942,-7.195 22.192,-6.145 8.246,1 14.894,4.797 20.039,11.344 5.148,6.547 7.199,13.946 6.199,22.192 -0.25,1.847 -0.602,3.648 -1.102,5.347 4.602,-1.5 9.399,-2.25 14.446,-2.25 z"
+ id="path37" />
+ <path
+ fill="#000000"
+ d="m 199.414,101.496 c 0.449,-3.75 -0.449,-7.098 -2.797,-10.047 -2.351,-3 -5.347,-4.75 -9.097,-5.199 -3.75,-0.449 -7.149,0.5 -10.098,2.848 -2.996,2.3 -4.746,5.3 -5.195,9.047 -0.45,3.75 0.5,7.148 2.847,10.148 2.301,2.949 5.348,4.695 9.098,5.145 3.746,0.453 7.098,-0.45 10.094,-2.797 2.949,-2.348 4.699,-5.399 5.148,-9.145 z"
+ id="path39" />
+ <path
+ fill="#000000"
+ d="m 133.641,33.07 c -3,2.301 -4.746,5.348 -5.2,9.149 -0.449,3.699 0.5,7.047 2.852,10.047 2.348,2.996 5.348,4.746 9.094,5.195 3.75,0.449 7.097,-0.5 10.097,-2.848 2.95,-2.347 4.7,-5.347 5.149,-9.097 0.449,-3.746 -0.449,-7.145 -2.801,-10.094 -2.348,-3 -5.348,-4.699 -9.094,-5.149 -3.75,-0.5 -7.148,0.45 -10.097,2.797 z"
+ id="path41" />
+ <path
+ fill="#98bf00"
+ d="m 71.7656,60.562 c 6.4961,-5.101 13.8438,-7.148 21.9922,-6.148 -0.9492,-4.449 -1.1992,-9.098 -0.8516,-13.894 -10.9453,-0.75 -20.789,2.347 -29.5859,9.246 -9.5469,7.445 -15.0937,17.14 -16.543,29.136 -1.4492,11.996 1.5469,22.793 8.9961,32.34 6.8477,8.793 15.6914,14.141 26.4375,16.09 0.8516,0.152 1.7501,0.301 2.6993,0.402 0.8984,0.098 1.8008,0.2 2.6992,0.301 5.0468,0.297 9.8476,-0.203 14.4456,-1.449 5.347,-1.5 10.445,-4.102 15.191,-7.797 4.75,-3.75 8.449,-8 11.195,-12.797 2.352,-4.148 4,-8.695 4.899,-13.644 -4.746,-0.848 -9.145,-2.25 -13.195,-4.2 -0.25,1.95 -0.649,3.801 -1.2,5.551 -1.797,5.645 -5.195,10.395 -10.144,14.293 -4.949,3.848 -10.3948,5.996 -16.2932,6.399 -1.9492,0.097 -3.8984,0.047 -5.8984,-0.203 -0.0508,0 -0.1485,0 -0.1992,-0.047 C 78.2617,113.09 71.6172,109.293 66.5664,102.793 61.418,96.246 59.3711,88.848 60.3711,80.652 61.418,72.406 65.2188,65.707 71.7656,60.562 Z"
+ id="path43" />
+ <path
+ fill="#000000"
+ d="m 91.957,70.707 c -3.7461,-0.449 -7.0976,0.449 -10.0937,2.797 -3,2.351 -4.6992,5.398 -5.1485,9.148 -0.4492,3.7 0.4493,7.047 2.7969,10.047 2.3516,2.949 5.3477,4.696 9.0977,5.196 3.7461,0.449 7.0976,-0.5 10.0937,-2.848 2.9999,-2.348 4.7499,-5.348 5.1989,-9.047 0.45,-3.797 -0.5,-7.195 -2.797,-10.145 -2.3511,-3 -5.398,-4.699 -9.148,-5.148 z"
+ id="path45" />
+ <path
+ fill="#98bf00"
+ d="m 90.8594,133.531 c -0.1016,0.449 -0.1524,0.949 -0.2032,1.449 -1.496,11.997 1.5,22.743 8.9493,32.29 7.4455,9.542 17.1405,15.042 29.1875,16.542 11.945,1.45 22.742,-1.55 32.285,-8.996 8.797,-6.898 14.145,-15.695 16.094,-26.441 0.152,-0.898 0.301,-1.801 0.402,-2.75 0.098,-0.898 0.199,-1.797 0.25,-2.648 0.348,-5.047 -0.152,-9.895 -1.402,-14.442 -1.496,-5.351 -4.098,-10.449 -7.797,-15.195 -3.746,-4.75 -7.996,-8.496 -12.793,-11.246 -4.148,-2.348 -8.746,-4 -13.695,-4.848 -0.848,-0.148 -1.75,-0.301 -2.649,-0.398 -0.5,-0.051 -1,-0.102 -1.5,-0.153 -1.25,4.848 -3.097,9.348 -5.648,13.496 1.699,-0.101 3.449,-0.05 5.25,0.2 0.051,0 0.148,0 0.199,0 2,0.25 3.899,0.648 5.746,1.25 5.649,1.797 10.449,5.199 14.297,10.144 3.848,4.949 5.996,10.348 6.348,16.293 0.097,1.902 0.05,3.852 -0.2,5.848 0,0.051 0,0.152 0,0.25 -1.05,8.148 -4.851,14.797 -11.347,19.844 -6.547,5.148 -13.945,7.195 -22.192,6.148 -8.246,-1 -14.941,-4.801 -20.043,-11.348 -5.144,-6.547 -7.195,-13.945 -6.144,-22.191 0.199,-1.848 0.547,-3.649 1.098,-5.348 -4.649,1.5 -9.4458,2.25 -14.4926,2.25 z"
+ id="path47" />
+ <path
+ fill="#000000"
+ d="m 123.297,148.727 c 2.348,2.996 5.348,4.695 9.094,5.148 3.75,0.449 7.148,-0.453 10.148,-2.801 2.945,-2.347 4.695,-5.398 5.145,-9.144 0.453,-3.75 -0.5,-7.098 -2.797,-10.047 -2.348,-3 -5.399,-4.75 -9.149,-5.199 -3.746,-0.45 -7.093,0.5 -10.093,2.847 -3,2.301 -4.7,5.301 -5.149,9.047 -0.449,3.75 0.449,7.149 2.801,10.149 z"
+ id="path49" />
+ </g>
+</svg>
diff --git a/www.yml b/www.yml
index f7664eaf..d924fdc4 100644
--- a/www.yml
+++ b/www.yml
@@ -462,13 +462,13 @@ partners:
- id: CBG
name: "Code Blau GmbH"
contact: "-- TBD --"
- logo: images/logo-placeholder.svg
+ logo: images/logo-codeblau.svg
url: https://example.org
- role: "-- TBD --"
+ role: "Code Blau GmbH is a boutique IT-security consulting firm from Berlin, Germany, established in 1999. We help customers to design and develop secure software systems."
statement: "-- TBD --"
- id: VV
name: "Visual Vest"
- contact_role: "-- TBD --"
+ contact: "-- TBD --"
logo: images/logo-placeholder.svg
url: https://example.org
role: "-- TBD --"